Add a delay in a loop type test step

Hi all,
anyone good with expressions and loop type = custom?
Several lines of code can be eliminated if I can pull off the following:
I have a step that calls a C++ function, as a numeric test
this function fails the first time it is called somewhat reliably
this function will fail without a delay of 500ms between calls
Typically works second time called
so, using the looping tab, Pass 1  out of 3 tries works great, with record result of each iteration OFF, except I need a delay between each call, preferrably also located before the first call
any way to put that delay in the expressions?
Jeff
Solved!
Go to Solution.

Hi Jeff,
I didn't realize that you were dealing with proprietary code..  Now I understand the workaround.  
I agree with the above suggestions.
Ideally you would want to clear the buffer before running.
The issue with voting or running a test until pass is that how can you tell when a test is meant to pass or to fail if a failure was detected.  I am thinking of UUT's that have intermettent failures and would slip the occasional PASS.  Also, it is more efficient to run a test once, especially if yo are doing datalogging.
I think the idea of "a loop until PASS or run 3 times and PASS if at least one pass was detecte" is for debugging purposes.  I would not recommend the approach as a production test.
R

Similar Messages

  • [svn] 1543: Bug: BLZ-152-lcds custom Date serialization issue - need to add java.io. Externalizable as the first type tested in AMF writeObject() functions

    Revision: 1543
    Author: [email protected]
    Date: 2008-05-02 15:32:59 -0700 (Fri, 02 May 2008)
    Log Message:
    Bug: BLZ-152-lcds custom Date serialization issue - need to add java.io.Externalizable as the first type tested in AMF writeObject() functions
    QA: Yes - please check that the fix is working with AMF3 and AMFX and you can turn on/off the fix with the config option.
    Doc: No
    Checkintests: Pass
    Details: The problem in this case was that MyDate.as was serialized to MyDate.java on the server but on the way back, MyDate.java was serialized back to Date.as. As the bug suggests, added an Externalizable check in AMF writeObject functions. However, I didn't do this for AMF0Output as AMF0 does not support Externalizable. To be on the safe side, I also added legacy-externalizable option which is false by default but when it's true, it restores the current behavior.
    Ticket Links:
    http://bugs.adobe.com/jira/browse/BLZ-152
    Modified Paths:
    blazeds/branches/3.0.x/modules/core/src/java/flex/messaging/endpoints/AbstractEndpoint.ja va
    blazeds/branches/3.0.x/modules/core/src/java/flex/messaging/io/SerializationContext.java
    blazeds/branches/3.0.x/modules/core/src/java/flex/messaging/io/amf/Amf3Output.java
    blazeds/branches/3.0.x/modules/core/src/java/flex/messaging/io/amfx/AmfxOutput.java
    blazeds/branches/3.0.x/resources/config/services-config.xml

  • A problem with delays in timed loops and DAQ

    I am programming a simulation for nuclear rewetting for a visitor centre at my company in Switzerland. It involves heating a "fuel rod" and then filling the chamber with water. The pump automatically starts once the rod core reaches 750C. After this, a requirement stipulates that flow rate be checked to ensure the pump is operating at the necessary conditions. If it isn't, the heater must be shutdown to avoid, well... meltdown. However, we must allow 10 seconds for the pump to respond, while still allowing a DAQ rate of 10-100Hz.
    The challenge is that I can't add a delay in my main loop else delay all acquisition, but I can't figure out how to trigger a peripheral loop (with DAQ for the single channel of checking flow) from the main loop, and when the peripheral loop determines if flow has initalised, respond back to the main loop with the okay.
    I think much of my confusion is in the interaction of the loops and the default feedback nodes that labview is putting in willy nilly. Would the only solution be to have two 'main' loops that don't communicate with eachother but rather do the same thing while operating on different timing? Tell me if you want me to post the file (although its on an unnetworked computer and I didn't think it would be too useful).
    Thanks+ Curran
    Solved!
    Go to Solution.

    Here it is! It is not in any form of completion unfortunately.
    So reading in the temp with NI9213 and watercolumn height with NI9215, we determine to turn on the pump with NI9472. NI9421 determines whether the pump is on (there is flow) and I must respond accordingly.
    I have 3 scenarios similar to this one as well, so having redundant loops with different timing like I mentioned would be way to heavy. I think I may have though up of a solution? At the time the pump is initiated, we record the iteration and wait for a number of iterations that correspond to 10s to pass before fulfilling the pump shutoff requirement?
    Attachments:
    rewettin1.vi ‏15 KB

  • How do i configure a FOR loop to have the behavior of the step Loop Type: Pass/Fail count?

    Hello,
    I'm using the Pass/Fail count set to one Pass to capture an event generated by my DUT.  I originally used a numerical compare step with the Looping type of Pass/Fail count to accomplish this.  Unfortunately the implementation changed and now I need to execute a few steps that can not be combined within one code module as before. Nor can these steps be put into a subroutine.  One of the steps executes a .NET asembly and I haven't figured out how to pass the reference to the subroutine.  When the subroutine is intered the reference is lost and the methode does not execute correctly.
    I have an evaluation function the exits the loop when the expected conditions are met. Everything works except for the Overall Pass/Fail result of the For loop.  If the loop exits due to the first numerical compare test passing, I want the loop overall execution to report as "Passed".  If the loop reaches it's predetermined number of iterations, the overall result needs to report as "Failed".  It would also be nice to have the radio button functionality of "Record Result of Each iteration".  Some conditions require a wait over a minute for the event to occur and I don't want to generate needless data for the report.
    Currently I get the pass/fail status for each For loop iteration, but only "Done" for each loop iteration.  I don't want the initial few failures to cause the test to fail.
    Does anyone know how to do this? Suggestions?
    Thanks,

    I have 2 steps in the loop that can not be combined into one step. This forces me to implement the behavior in some form of loop.  A While Loop could be an option.  I would need to pass the Step.Result.Status from the Multiple Numerical compaire step to the condition of the While Loop.  I tried to use the Step.TS.ID without success.  Some form of reference to the numerical compare step would be needed. I'm using a For Loop as I do want to limit the number of iterations of the loop.  In the case where the loop iterations are reached, the event I am trying to detect did not occur at the correct time and a failure needs to be reported.
    I came up with something based on my comments in the second post:
    1) To start with I configured a Locals.ForLoop_5 variable.  This is used to set the limit on the loop iterations and for comparison after the loop has finished executing. More on that later.
    2) The first step inside the loop invokes a method within a .NET assembly that has been packed in a DLL This method gets the required data from the DUT and stores it to a text file.
    3) The next step is the Multiple Numeric Limit step.  This step invokes a VI that extracts the data from the text file.  This step has been customized in several ways.
      i)  In Run Options, the Results Recording Option was disabled.  This prevents recording of "Failed" while the loop executes when waiting for the event to happen.
      ii) In Run Options, the Step Failure Causes Sequence Failure is unchecked.  Same reasoning as i)  These steps are not true failures.
      iii) A Post Action is configured to go to the nexxt step after the For Loop End step On Condition True with the logic of Step.Result.Status == "Passed".  This causes the loop to exit when the first "Passed" is encountered which corrolates with the event I'm trying to detect. On Conditon Fail remains set to default.
    4)  The step after the For Loop End is an expression step with everythin set to default except for the Status Expression logic set to: Locals.Loopindex < Locals.ForLoop_5 ? (Step.Result.Status = "Passed") : (Step.Result.Status = "Failed"). This step performs the overall Pass/Fail reporting for the For Loop.  If the number of loop iterations is less than the maximum it could have only gotten there by the previous logic triggered by the numerical compare passing, therefore "Passed".  If the loop index has reached the limit, then the event was not detected, therefore Failed.
    I have tested this work around with success, it just a pain to now have to implement this on my 40 some odd For Loops.
    If there is a better way, I'd still like to hear it.

  • There is a Delayed Response When I type in certain Forms in Mozilla

    There is a Delayed Response When I type in certain Forms in Mozilla. It takes several seconds for the text to catch up with the keyboard.
    Some forms are OK. Specifically I am experiencing problems on http://www.mts.com.ua/eng/sendsms.php There are 3 forms or textboxes that I use on this page. One is glitchy. IE works fine on this page.
    Thanks

    Start Firefox in [[Safe Mode]] to check if one of your add-ons is causing your problem (switch to the DEFAULT theme: Tools > Add-ons > Themes).
    See [[Troubleshooting extensions and themes]] and [[Troubleshooting plugins]]
    If it does work in Safe-mode then disable all your extensions and then try to find which is causing it by enabling one at a time until the problem reappears.
    You can use "Disable all add-ons" on the [[Safe mode]] start window to disable all extensions.
    You have to close and restart Firefox after each change via "File > Exit" (Mac: "Firefox > Quit"; Linux: "File > Quit")

  • Issue in creating a add link to a document content type on a doc lib name with , / special characters

    hi,
    i am having a  requirement to create/use "add link to a document" content type for a item in the document library.
    so i got  the code from below site :
    http://howtosharepoint.blogspot.in/2010/05/programmatically-add-link-to-document.html
    my issue is, if teh document  library names are single words - like MOM, model, procedures etc this  functionality is working fine and i am able to view the link to a document as an item. 
    but when the doc lib name contains special characters like , or  /  , this link to a  document  content type functionality is NOT working.
    can anyone pls point me whether this is the  actual  issue ? ie, if  the doc lib name contains special chars  like , or /  my
    add link to a document wont work? is there any restrictions/limitations for doc lib names in SharePoint ?
    for eg: my doc lib names are :
    1) Report and analysis, Data
    2) form / template
    3) map/ plot
    help is highly appreciated!

    hi,
     its talking abt the subsite names and  folders and NOT the document librraies.
     is there any link which gives the naming convention / restricted names for  document libs or  splists , from msdn / blogs.technet.
    thnx

  • How to insert delay without using loops, and how can I use variable to store data in labview

    Hi all,
    I am new to Labview and I realized that quite often I am in condition to require a delay beween two functions or elements.. how can we insert a delay in such cases?
    I know how to use delays in a loop. but don't know how to inser some time delay between two elements.
    I have one more question, I know its a bad practice to post 2 questions in 1 thread.
    How can I use a temporary variable to store data, So far I am storing it in an indicator by making it invisible in front panel and making local variable of that indicator, is it the right way to do it ?
    Thanks in advance.

    Generally, LV doesn't have variables in the same sense that most languages do. You can use indicators to perform the same function as variables, but as Adnan pointed out, you run the risk of having race condition and it creates data copies, which is a problem if you have a lot of data. In most cases, you should use wires to perform the function of variables, which is to store data and make it available to the different functions in your code. Instead of thinking about variables, you need to think about data (something like "I have the data coming out of this function. Where does it need to go?").
    Try to take over the world!

  • [svn:bz-trunk] 19769: Add destination and two streaming endpoints to test the invalidate-messageclient-on-streaming-close setting .

    Revision: 19769
    Revision: 19769
    Author:   [email protected]
    Date:     2011-01-14 12:05:23 -0800 (Fri, 14 Jan 2011)
    Log Message:
    Add destination and two streaming endpoints to test the invalidate-messageclient-on-streaming-close setting.
    Modified Paths:
        blazeds/trunk/qa/apps/qa-manual/WEB-INF/flex/messaging-config.mods.xml
        blazeds/trunk/qa/apps/qa-manual/WEB-INF/flex/services-config.mods.xml

    Originally Posted by namal
    Hello,
    can you please reupload the file again? The link doesn't work. I really need this driver pack for the Audigy 2 NX. I had Windows 7 and i don't want to buy a new external Sound Card for my notebook. Much thanks for the great work.
    In case you still require your driver and Alchemy, here are the links for the Audigy 2 NX
    Downloads Page
    http://support.creative.com/Products...05&prodID=9103
    Driver
    http://support.creative.com/download...wnloadId=11994
    Alchemy
    http://support.creative.com/download...wnloadId=12579

  • How to add a delay step

    Hi,
    we have come across a issue in work flow. And we have very little idea on work flow.
    Can any one please let me know how to add a delay step behind existing step X.
    Also let me know how to do below options to perform this operation like 
    1. Like in ABAP delay for few seconds
    2. Or simply making use of desired start time logic.
    Thanks in advance.
    PRaneeth

    Delay?
    You mean that you want to stop the execution of the workflow for a specific period, right?
    Like in ABAP delay for few seconds
    This can be handled by using a WAIT STEP  of the workflow, I meanthe use of this wait step is , in brief, if at all you want to stop the execution of the workflow  until a specific Event is raisedthe workflow execution stops and as soon as the specified event is raised then the remaining part of the workflow is executed.

  • Type Testing in Extended Program check

    Hi experts,
    Which checking my program using extended program check , i'm getting the error as
    Program:  ZPP_WRENCH_SAP_COMPARE  Line :    443
    FORM CALLERR: No type test possible for parameter TEXT
    In my program
    PERFORM CALLERR USING 'File Error!'
          FORM CALLERR                                                  *
    -->  TEXT                                                          *
    FORM CALLERR USING TEXT.
      CALL FUNCTION 'FC_POPUP_ERR_WARN_MESSAGE'
           EXPORTING
                POPUP_TITLE  = 'ZPP_WRENCH_SAP_COMPARE'
                IS_ERROR     = 'X'
                MESSAGE_TEXT = TEXT
                START_COLUMN = 30
                START_ROW    = 8.
    ENDFORM.
    Can any one help me out from this ?????
    Any helpful inputs on this will be highly rewarded.
    Thanks in advance.
    Rgds,
    Lakshmiraj

    cool Ranjita....
    Thanks a lot...
    i did in this way...
    Variable for storing the Display message.
    DATA  :  TEXT TYPE STRING .
          CLEAR TEXT.
          TEXT = 'File Error!'.
          PERFORM CALLERR USING TEXT.
    FORM CALLERR USING TEXT TYPE STRING.
      CALL FUNCTION 'FC_POPUP_ERR_WARN_MESSAGE'
           EXPORTING
                POPUP_TITLE  = 'ZPP_WRENCH_SAP_COMPARE'
                IS_ERROR     = 'X'
                MESSAGE_TEXT = TEXT
                START_COLUMN = 30
                START_ROW    = 8.
    ENDFORM.
    Edited by: LAKSHMIRAJ on Jan 16, 2008 10:42 AM

  • I am unable to add Data element into existing Data Type

    Hi Experts,
    I am unable to add Data element into existing Data Type, It shows disable mode, suggest me this..
    Thanks

    Yep got it...
    Edited by: Manoj on Aug 20, 2008 1:09 AM

  • [svn:osmf:] 10438: Add enumeration class for VAST resource type attribute.

    Revision: 10438
    Author:   [email protected]
    Date:     2009-09-20 13:43:14 -0700 (Sun, 20 Sep 2009)
    Log Message:
    Add enumeration class for VAST resource type attribute.
    Modified Paths:
        osmf/trunk/libs/VAST/.flexLibProperties
        osmf/trunk/libs/VAST/org/openvideoplayer/vast/model/VASTAdBase.as
        osmf/trunk/libs/VAST/org/openvideoplayer/vast/model/VASTTrackingEventType.as
        osmf/trunk/libs/VAST/org/openvideoplayer/vast/parser/VASTParser.as
        osmf/trunk/libs/VASTTest/org/openvideoplayer/vast/parser/TestVASTParser.as
    Added Paths:
        osmf/trunk/libs/VAST/org/openvideoplayer/vast/model/VASTResourceType.as

    Revision: 10438
    Author:   [email protected]
    Date:     2009-09-20 13:43:14 -0700 (Sun, 20 Sep 2009)
    Log Message:
    Add enumeration class for VAST resource type attribute.
    Modified Paths:
        osmf/trunk/libs/VAST/.flexLibProperties
        osmf/trunk/libs/VAST/org/openvideoplayer/vast/model/VASTAdBase.as
        osmf/trunk/libs/VAST/org/openvideoplayer/vast/model/VASTTrackingEventType.as
        osmf/trunk/libs/VAST/org/openvideoplayer/vast/parser/VASTParser.as
        osmf/trunk/libs/VASTTest/org/openvideoplayer/vast/parser/TestVASTParser.as
    Added Paths:
        osmf/trunk/libs/VAST/org/openvideoplayer/vast/model/VASTResourceType.as

  • [svn] 2763: add toplevel.abc location when running asc tests

    Revision: 2763
    Author: [email protected]
    Date: 2008-08-06 12:53:29 -0700 (Wed, 06 Aug 2008)
    Log Message:
    add toplevel.abc location when running asc tests
    Modified Paths:
    flex/sdk/trunk/build.xml

    Revision: 2763
    Author: [email protected]
    Date: 2008-08-06 12:53:29 -0700 (Wed, 06 Aug 2008)
    Log Message:
    add toplevel.abc location when running asc tests
    Modified Paths:
    flex/sdk/trunk/build.xml

  • How do you add totaltime for all test steps in the report

    We are using xml reporting with the horizontal.xsl.  I see the totaltime property in the xml file.  I was able to un-comment some code in the stylesheet to display the module time for pass/fail steps.  I would prefer to see totaltime for every step.
    Alternative is to write some Labview code to extract test step name and associated times from the xml.
    Solved!
    Go to Solution.

    Hello
    If you can able to understand the Horizontal xsl, it is very easy to get show the available fields in the XML in the Report. Through LabVIEW also it is possible to extract the required
    Fields from the XML, you have to design an XML Parser  in the LabVIEW to
    parse the Step Name and it's time
    Attached code (a
    sample) can able to parse the Test Step Names, give the Report XML file
    Path as input, it will parse Step Names.
    What makes me unclear is, how can you use LabVIEW to show the total time?
    AshwiN,
    Attachments:
    Parse Test Results.zip ‏39 KB

  • Dynamically Create Test Step

    I want a TestStand sequence to dynamically create multiple copies of a test step (with different parameters).  We are currently looping on the test step, but this doesn't provide a clear indication to the operator what test steps have been and will be executed.  I tried to do a SetNumElements(RunState.Sequence.Main, numElements) and an InsertElements(RunState.Sequence.Main, index, numElements), but they both made the new steps of type "Container" instead of type "Step" and when I tried to copy the test step it gave me an Error: "-17321; Variable or property types do not match or are not compatible."
    Has anyone successfully done this?  Thank you in advance.

    Thank everyone for your help.  I have successfully copied test steps.  I have had one difficulty though.  If the step I copy is a function call with a relative path to the DLL and the DLL is located in the same directory as the test sequence, the copies are not able to find the DLL (the original step is able to do so).  I have "Current Sequence File Directory" selected in the search directory list.  I checked and it seems as though the paths to all of the pre-defined directories (including "Current Sequence File Directory") are empty ("") at run-time.  I don't want to add the path in the directory search list, nor do I want to hard code the path in the sequence file. 
    Does anyone have a solution to this problem?  Optimally I would like to dynamically specify the absolute path to the DLL, but I couldn't find a property or process to do this.  Thank you in advance for your help.

Maybe you are looking for

  • Problem MSI 890 after OC easy switch

    hi MSI technical n' users i've a problem with OC it wasn't there when i bought it .. but after bios update it happened .. the normal mode after OC .. please help me can' i restore previous bios ? n' which vesion is perfect ? note: my pc specification

  • Inspection lot measure unit

    Hello, I am straggling to find out where  from the maintenance inspection lot (source 14) takes the "measure unit" to the inspection lot quantities tab?. I find that "The system always selects the base unit of measure from the material master as the

  • I want to delete current autofill and clearing history doesn't work.

    I want to get rid of the autofills on my Yahoo mail accounts and Twitter as there have been mistakes made over the time I've had them and they ALL show up every time I type the first couple of letters in. I have cleared the history, deleted cookies,

  • Sorting in ArrayField widget.

    Hi, We are using ArrayField widget. Forte does not provide any in-built sorting facility in ArrayField as it does in ListView. Is there an easy way to do that? If we implement our own sorting algorithm then how do we make it generic method for differ

  • What is the SAP equivalent to Oracle iExpenses?

    Hi, Can somebody throw some light on the subject? Any link for docs will be highly appreciated. regs