Generate Draft Revenue

Hi,
Could anyone please help me out from this error.
Exception reason while running the PRC:Generate Draft Revenue Process - AutoAccounting Error
I searched in the metalink. but, could not get apt. solution for this.
Log file says:
Entered paaffc
select CODE_COMBINATION_ID,
enabled_flag,
nvl(to_char(start_date_active, 'J'), -1),
nvl(to_char(end_date_active, 'J'), -1),
SEGMENT3||'.'||SEGMENT1||'.'||SEGMENT2||'.'||SEGMENT4||'.'||SEGMENT5||'.'||SEGMENT6||'.'||SEGMENT7,detail_posting_allowed_flag,summary_flag
from GL_CODE_COMBINATIONS
where CHART_OF_ACCOUNTS_ID = 52550
and SEGMENT3 is null
order by SEGMENT3, SEGMENT1, SEGMENT2, SEGMENT4, SEGMENT5, SEGMENT6, SEGMENT7
paaffc : num_flex = 11904
paaffc: Before paafui call check: mc_ptr=0, mc_end_ptr=1
Warning in Flex Validation for line '3381::1:1'
Null GL# 52550 key flexfield is not allowed
Warning in Flex Validation for line '3381::1:1'
Null GL# 52550 key flexfield is not allowed
paafui: In paafui, decrementing mcptr: mc_ptr=2, mc_end_ptr=1
Leaving paaaui()
what i need to do for this.
Thanks in Advance,
Lavanya

Hi Lavanya.
Check your auto accounting rules, one of the rules is generating a null value, which is why the validation is failing.
Thanks,
Ram

Similar Messages

  • Error : PRC: Generate Draft Revenue for a Single Project

    Dear all,
    I'm using Project using Cost / Cost as revenue accrual/Billing method
    and I try to Generate Draft Revenue but I have a problem
    Please suggest what could be wrong.
    Log file :
    Projects: Version : 11.5.0 - Development
    Copyright (c) 1979, 1999, Oracle Corporation. All rights reserved.
    PARGDR_SINGLE module: PRC: Generate Draft Revenue for a Single Project
    Current system time is 29-JUL-2009 11:15:45
    Entering parmai()
    ...Connected to Oracle
    Accrue Thru date from Command line is : 2009/08/02 00:00:00
    project:35 delete:N regenerate:Y acc_thru_dt:02-Aug-09
    start project: end project:
    Revenue is running in Normal revenue mode
    Revenue is not based on specific project type...
    Revenue is not based on specific organization...
    Revenue is not based on specific customer...
    Revenue is not based on specific Agreement...
    Revenue is running for MCB/Non MCB projects...
    Revenue is running for release revenue mode...
    Revenue is not creating the detail report ...
    Revenue Processing Parameter List
    =================================
    -------------- < parameter list > -----------------------
    Accru Through Date ----------------------------------------> 02-Aug-09
    Project ID ------------------------------------------------> 35
    From Project Number --------------------------------------->
    To Project Number ----------------------------------------->
    Adjusting Revenue Run -------------------------------------> No
    Project Type ID -----------------------------------------> 0
    Organization ID ------------------------------------------> 0
    Customer ID ----------------------------------------------> 0
    Agreement ID ----------------------------------------------> 0
    Multi Currency Projects -----------------------------------> No
    Release Draft Revenue -------------------------------------> Yes
    Include Detail Report -------------------------------------> No
    -------------- < End of parameter list > -----------------------
    ...Request ID = 804880
    This concurrent request is not being rescheduled
    Current system time is 29-JUL-2009 11:15:45
    ...about to delete revenue for project id 35
    ...0 draft revenues deleted
    Current system time is 29-JUL-2009 11:15:45
    ...about to process adjustments
    SELECT p.project_id, p.segment1,
    p.distribution_rule, p.project_level_funding_flag,p.project_currency_code,
    p.project_bil_rate_date_code,
    p.project_bil_rate_type,
    p.project_bil_rate_date,
    p.project_bil_exchange_rate,
    p.projfunc_currency_code,
    p.projfunc_bil_rate_date_code,
    p.projfunc_bil_rate_type,
    p.projfunc_bil_rate_date,
    p.projfunc_bil_exchange_rate,
    p.revproc_currency_code,
    p.funding_rate_date_code,
    p.funding_rate_type,
    p.funding_rate_date,
    p.funding_exchange_rate,
    p.multi_currency_billing_flag,
    p.assign_precedes_task
    FROM pa_projects p, pa_project_types t
    WHERE p.project_id = :project_id
    AND pa_project_utils.check_prj_stus_action_allowed(p.project_status_code,'GENERATE_REV') = 'Y'
    AND :start_project_number||'x' != :end_project_number||'y'
    AND p.project_type = t.project_type
    AND t.project_type_class_code = 'CONTRACT'
    AND EXISTS (SELECT /*+ INDEX(pf pa_summary_project_fundings_u1)*/ NULL
    FROM pa_summary_project_fundings pf
    WHERE pf.project_id = p.project_id
    AND nvl(pf.revproc_baselined_amount, 0) != 0)
    AND NOT EXISTS
    (SELECT NULL
    FROM pa_draft_revenues r2
    WHERE r2.project_id = p.project_id
    AND r2.released_date||'' is null
    AND r2.generation_error_flag||'' = decode(:mass_gen,
    1, 'Y', r2.generation_error_flag)
    AND (EXISTS (SELECT NULL
    FROM pa_expenditure_items_all i
    WHERE i.project_id = p.project_id
    AND i.cost_distributed_flag ||''= 'Y'
    AND i.revenue_distributed_flag = 'N'
    AND i.expenditure_item_date
    <= NVL(TO_DATE(:acc_thru_dt),sysdate)
    AND EXISTS (SELECT /*+ LEADING(l)*/ NULL
    FROM     pa_draft_revenues r, pa_cust_rev_dist_lines l
    WHERE r.project_id = i.project_id
    AND      ((l.expenditure_item_id = i.adjusted_expenditure_item_id AND i.adjusted_expenditure_item_id is not null )
    OR (l.expenditure_item_id = i.expenditure_item_id))
    AND      NVL(l.reversed_flag,'N') = 'N'
    AND      l.line_num_reversed IS NULL
    AND      r.project_id = l.project_id
    AND      r.draft_revenue_num = l.draft_revenue_num
    AND (r.released_date||'' is not null
    OR r.generation_error_flag||'' = decode(:mass_gen,
    1, 'N', 'E')))
    union all
    SELECT /*+ LEADING(v)*/NULL
    FROM pa_events v
    WHERE v.project_id = p.project_id
    AND ((v.revenue_distributed_flag = 'N'
    AND v.completion_date
    <= NVL(TO_DATE(:acc_thru_dt),sysdate))
    OR (substr(p.distribution_rule,1,4) = 'COST'
    AND v.revenue_distributed_flag = 'Y'
    AND v.completion_date
    > TO_DATE(NVL('02-Aug-09', sysdate))))
    AND (DECODE(NVL(v.bill_trans_rev_amount, 0), 0 ,
    DECODE(NVL(v.zero_revenue_amount_flag, 'N'), 'Y', 1, 0),1) = 1)
    AND NVL(revenue_hold_flag, 'N') = 'N'
    AND EXISTS (
    SELECT NULL
    FROM pa_tasks t
    WHERE v.task_id is not NULL
    AND t.ready_to_distribute_flag = 'Y'
    AND v.task_id = t.task_id
    UNION ALL
    SELECT NULL
    FROM pa_tasks t1
    WHERE v.task_id is NULL
    AND t1.ready_to_distribute_flag = 'Y'
    AND v.project_id = t1.project_id )
    AND Exists (select null from pa_draft_revenues r,pa_cust_event_rev_dist_lines l
    where l.project_id = v.project_id
    AND ( l.task_id = v.task_id OR v.task_id is NULL )
    AND l.event_num = v.event_num
    AND NVL(l.reversed_flag,'N') = 'N'
    AND l.line_num_reversed IS NULL
    AND r.project_id = v.project_id
    AND r.draft_revenue_num = l.draft_revenue_num
    AND (r.released_date||'' is not null
    OR r.generation_error_flag||'' = decode(:mass_gen,
    1, 'N', 'E')))
    union all
    SELECT /*+ USE_CONCAT */ NULL
    FROM PA_Billing_Extensions be, PA_Billing_Assignments bea
    WHERE bea.active_flag = 'Y'
    AND bea.billing_extension_id = be.billing_extension_id
    AND (bea.project_id = p.project_id
    OR bea.project_type = p.project_type
    OR bea.distribution_rule = p.distribution_rule)
    AND be.calling_process in ('Revenue','Both')
    AND nvl(be.call_after_adj_flag, 'N') = 'Y'
    AND be.trx_independent_flag = 'Y'))
    Current system time is 29-JUL-2009 11:15:45
    ...0 projects processed for adjustments
    Current system time is 29-JUL-2009 11:15:45
    ...about to generate revenue for project id 35
    ...Fetching next project
    Current system time is 29-JUL-2009 11:15:45
    ...about to call pa_billing.bill_ext_driver( 35, 'Revenue', 'PRE', '02-Aug-09', 804880 )
    Current system time is 29-JUL-2009 11:15:45
    ...exiting pa_billing.bill_ext_driver( 35, 'Revenue', 'PRE', '02-Aug-09', 804880 )
    Current system time is 29-JUL-2009 11:15:45
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    ...Else loop 1
    ...else loop 2
    ...else loop 4
    ...else loop 5
    ...non_zero_amount
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    ...Else loop 1
    ...else loop 2
    ...else loop 4
    ...else loop 5
    ...non_zero_amount
    Leaving pauoarchn()
    Leaving pauoarchn()
    Current system time is 29-JUL-2009 11:15:48
    ...generated revenue for project id 35, number DP700150.01
    ...about to call pa_billing.bill_ext_driver( 35, 'Revenue', 'REG', '02-Aug-09', 804880 )
    Current system time is 29-JUL-2009 11:15:48
    ...exiting pa_billing.bill_ext_driver( 35, 'Revenue', 'REG', '02-Aug-09', 804880 )
    Current system time is 29-JUL-2009 11:15:49
    List of error messages for project id 35 for Revenue at location REG
    Procedure Name Message B Assgn Id Task Id
    pa_billing_pub.inse Create a default event type for this Bi 1
    Current system time is 29-JUL-2009 11:15:49
    ...generated revenue for automatic events for project id 35, number DP700150.01 calling loc REG
    ...about to call pa_billing.bill_ext_driver( 35, 'Revenue', 'POST-REG', '02-Aug-09', 804880 )
    Current system time is 29-JUL-2009 11:15:49
    ...exiting pa_billing.bill_ext_driver( 35, 'Revenue', 'POST-REG', '02-Aug-09', 804880 )
    Current system time is 29-JUL-2009 11:15:49
    Current system time is 29-JUL-2009 11:15:49
    ...generated revenue for automatic events for project id 35, number DP700150.01 calling loc POST-REG
    ...about to call pa_billing.bill_ext_driver( 35, 'Revenue', 'POST', '02-Aug-09', 804880 )
    Current system time is 29-JUL-2009 11:15:49
    ...exiting pa_billing.bill_ext_driver( 35, 'Revenue', 'POST', '02-Aug-09', 804880 )
    Current system time is 29-JUL-2009 11:15:49
    ...Fetching next project
    Current system time is 29-JUL-2009 11:15:49
    Current system time is 29-JUL-2009 11:15:49
    ...about to call AutoAccounting
    Current system time is 29-JUL-2009 11:15:49
    Current system time is 29-JUL-2009 11:15:49
    Current system time is 29-JUL-2009 11:15:49
    Current system time is 29-JUL-2009 11:15:49
    Warning in Flex Validation for line '35:353:1:1'
    Null GL# 50269 key flexfield is not allowed
    Warning in Flex Validation for line '35:353:2:1'
    Null GL# 50269 key flexfield is not allowed
    Current system time is 29-JUL-2009 11:15:50
    Current system time is 29-JUL-2009 11:15:50
    Current system time is 29-JUL-2009 11:15:50
    Current system time is 29-JUL-2009 11:15:50
    Current system time is 29-JUL-2009 11:15:50
    Current system time is 29-JUL-2009 11:15:50
    Current system time is 29-JUL-2009 11:15:50
    Current system time is 29-JUL-2009 11:15:50
    ...returned from AutoAccounting
    ...about to update pe_expenditures_all for intercompany processing
    Current system time is 29-JUL-2009 11:15:50
    Entering parddl()
    ...setting error codes and bad code combination id to null
    ... Update draft revenues for marking autoaccounting error if any
    Current system time is 29-JUL-2009 11:15:50
    1 rows updated.
    ... Update draft revenues without generation error to normal state
    Current system time is 29-JUL-2009 11:15:50
    0 rows updated.
    ... Update pa_cust_event_rev_dist_lines for setting bad CCID to null
    Current system time is 29-JUL-2009 11:15:50
    0 rows updated.
    ... Update pa_events for marking AutoAccounting error if any
    Current system time is 29-JUL-2009 11:15:50
    0 rows updated.
    ... Update pa_events for setting revenue_distributed_flag to 'N'
    Current system time is 29-JUL-2009 11:15:50
    0 rows updated.
    ... Update pa_cust_rev_dist_lines for setting bad CCID to null
    Current system time is 29-JUL-2009 11:15:50
    0 rows updated.
    ... Update pa_expenditure_items_all for marking AutoAccounting error if any
    Current system time is 29-JUL-2009 11:15:50
    0 rows updated.
    ... Update pa_expenditure_items_all for setting revenue_distributed_flag to 'N'
    Current system time is 29-JUL-2009 11:15:50
    0 rows updated.
    Leaving parddl()
    Current system time is 29-JUL-2009 11:15:50
    Current system time is 29-JUL-2009 11:15:50
    0 rows updated with Generation error due to ccid=-1
    Current system time is 29-JUL-2009 11:15:50
    0 rows updated with Generation error PA_REV_GEN_ERROR
    Current system time is 29-JUL-2009 11:15:50
    ...1 projects processed for revenue generation
    Current system time is 29-JUL-2009 11:15:50
    ...about to update proj summary funding amounts
    executing pa_billing.check_spf_amounts('B',35, , ) Calling check_spf_amounts...Inside the Single projects If
    Current system time is 29-JUL-2009 11:15:50
    ...about to generate reports
    Current system time is 29-JUL-2009 11:15:50
    ...completed generating reports
    Process completed.
    Start of log messages from FND_FILE
    End of log messages from FND_FILE
    Executing request completion options...
    ------------- 1) PRINT   -------------
    Printing output file.
    Request ID : 804880      
    Number of copies : 0      
    Printer : noprint
    Finished executing request completion options.
    Concurrent request completed successfully
    Current system time is 29-JUL-2009 11:15:50
    ---------------------------------------------------------------------------

    Hi Dina, thx for your response
    I have error "Rejection Error : Auto Accouting Error"
    There is Output :
    Project Num Revenue(Credited) Customer Agreement Rejection Reason
    DP700150.01 1 NOKIA SI( 1058) PKS-01/NSN/09 AutoAccounting Error

  • PRC: Generate Draft Revenue for a Single Project shows error(The project does not have a baseline revenue)

    Project Num                    Project Name                        Revenue Project Invoice     Rejection Reason                             
                                                                       Accrual Invoice Method     
                                                                       Method  Method  at Top Task
    16                             Contract Project                    Event   Event   No          The project does not have a baseline revenue
    Regards,
    Harvir

    Hi Harvir,
    Please check:
    PRC: Generate Draft Revenue for a Single Project Showing Reject Reason As the Project Does Not Have a Baseline Revenue
    Thanks &
    Best Regards,

  • API for Updating Draft Revenue once it is generated

    Hello All,
    Is anyone aware of any Oracle standard API to update the Draft Revenue once it is generated?
    It would be really helpful if anyone could please share the name of the API.
    Thanks & Regards
    Anuj

    After going to draft revenue lines -> details, there is GL account information. I want to change that GL account. Is there any API avialable?
    Thanks for your help.
    Thanks & Regards
    Anuj

  • Problem with PRC Generate Draft Invoice

    Hi
    PRC Generate Draft invoice does not generate an invoice for select customers. Receive the "No Eligible Expenditures or Events"
    message - however there is a valid manual billing event created. Validated
    that all prerequisite information has been completed - project setup, agreement
    funded, budget baselined, amount within customer credit limit, customer active,
    etc. This process works fine for some customers but not others - it does
    appear to be customer specific, not project specific.
    Performed the following steps:
    1) Created manual billing event - bill amount populated ONLY
    2) Ran PRC:Generate Revenue for a Single Project - no revenue since bill amount only
    on event
    3) Ran PRC: Generate Revenue Accounting Events - no revenue event since bill amount only
    4) Ran PRC:Create Accounting - no accounting transactions created since no revenue generated - bill event only
    5) Ran PRC:Generate Draft Invoice for a Single Project - ran this individually for
    each project.
    For the customer thats working we get the below in the log file :-
    ...Checking Number of Customers#1
    ... Checking for Number of Active Bill Customers #1
    ... Checking for Number of Active Ship to Customers#1
    ... Checking Number of Active Customers#1
    Going to check relation()
    Checking for active bill to address
    Checking for active ship to address
    ...Checking Billing Contact For Cust #11637
    ... For Bill Cust #11637
    ... For Ship Cust #11637
    ...Checking Relation - 1
    ...Validated bill to customer -
    ...Validated ship to customer - ...Customer #11637 is paying for 100% of this event.
    Entering paifai()Current system time is 03-MAY-2009 14:37:45
    For Customer for which it doesnt work it show the below in the log file :-
    Checking Number of Customers#1
    ... Checking for Number of Active Bill Customers #1
    ... Checking for Number of Active Ship to Customers#1
    ... Checking Number of Active Customers#1
    Going to check relation()
    Checking for active bill to address
    Checking for active ship to address
    ...Checking Billing Contact For Cust #11070
    ... For Bill Cust #11070
    ... For Ship Cust #11070
    ...Checking Relation - 1
    ...Validated bill to customer -
    ...Validated ship to customer -
    Leaving paigaa.Current system time is 03-MAY-2009 14:45:41
    paiend -No of events updated with billed flag is 0
    Can someone throw some light.

    Hello,
    You can check the things below :
    1. Check the Hard Limit. The hard limit setting may be preventing the invoice from
    being generated. To check this,
    1. Navigate to Billing -> Agreements.
    2. Query the agreement for the customer and project you are having
    difficulties with.
    3. If the HARD LIMIT box is checked this could prevent the invoice from
    generating. Uncheck the HARD LIMIT setting.
    4. Save your changes.
    5. Resubmit the PRC: Generate Draft Invoices process.
    2. If Customer on Top task, then check whether the 'Ready to Bill' for the specific Top Task in the Control Billing by Top Task window.
    Thanks,
    Sathish
    www.projectsaccounting.com

  • Draft revenues in to Journal Ledger details in GL Tables

    Hi,
    how do we reconcile the draft revenues and draft invoices of Projects to the Journal Ledger entries. How the tables are related. Can anyone please share the query or the table details.
    Thanks,
    HC

    Hi ,
    Do you want get the vendor id from GL Interface or Actual GL tables?
    GL_import_references table stores information about the journal line details . In this Reference_1 column have the vendor_name if you want to have vendor id then take GL_SL_LINK_ID and join it with AP_AE_LINES where third_party_id will give you the vendor_id for that journal line.
    Hope this helps
    Thanks
    Regards
    Muthu

  • Regarding marking of status for generate draft invoice for single projects

    Hi,
    1)
    I have read through the portion - "Automatic Invoice Approve/Release Extension" in the document - "Oracle® Projects APIs, Client Extensions, and Open Interfaces".
    Noticed the package - "pa_client_extn_inv_actions" and procedures - "approve_invoice, release_invoice".
    I understand that these procedure play role in the actually approving & release of the invoice but will this also play role in changing the status or some other code need to be written for changing the status.
    My requirement of customizing a workflow for approval also need to mark status as unapproved when not approved. Not sure if same procedure will help.I am completely new in Project accounting so not sure if I have put forward very basic questions.
    2) Second question is in regards to the way this procedure should be modified :
    This is the code for approve_invoice:
    /*----------------------------------------------------------------------+
    | Approve Customer Invoice Template |
    +----------------------------------------------------------------------*/
    Procedure Approve_Invoice ( P_Project_ID in number,
    P_Draft_Invoice_Num in number,
    P_Invoice_Class in varchar2,
    P_Project_Amount in number,
    P_Project_Currency_Code in varchar2,
    P_Inv_Currency_Code in varchar2,
    P_Invoice_Amount in number,
    X_Approve_Flag out NOCOPY varchar2, --File.Sql.39 bug 4440895
    X_Status out NOCOPY number ) is --File.Sql.39 bug 4440895
    BEGIN
    -- Reset the output parameters.
    X_Approve_Flag := NULL;
    X_status := 0;
    -- Add your Approve Invoice Logic here.
    -- If you want to Approve the Invoice set X_Approve_Flag to 'Y'.
    -- If it's null or set to 'N', Approval of Invoice will not be Done.
    -- Do not add 'commit' or 'rollback' in your code, since Oracle
    -- Projects controls the transaction for you.
    EXCEPTION
    when others then
    -- Add your exception handler here.
    -- To raise an application error, assign a positive number to X_Status.
    -- To raise an ORACLE error, assign SQLCODE to X_Status.
    RAISE;
    END Approve_Invoice;
    I have shown below what I understand on the modifications to be done on the same:
    Procedure Approve_Invoice ( P_Project_ID in number,
    P_Draft_Invoice_Num in number,
    P_Invoice_Class in varchar2,
    P_Project_Amount in number,
    P_Project_Currency_Code in varchar2,
    P_Inv_Currency_Code in varchar2,
    P_Invoice_Amount in number,
    X_Approve_Flag out NOCOPY varchar2,
    X_Status out NOCOPY number ) is
    BEGIN
    -- Reset the output parameters.
    X_Approve_Flag := NULL;
    X_status := 0;
    X_Approve_Flag := 'Y';
    -- If you want to Approve the Invoice set X_Approve_Flag to 'Y'.
    -- If it's null or set to 'N', Approval of Invoice will not be Done.
    EXCEPTION
    when others then
    X_Status = 1;
    -- To raise an application error, assign a positive number to X_Status.
    -- To raise an ORACLE error, assign SQLCODE to X_Status.
    RAISE;
    END Approve_Invoice;
    **Will this modification "X_Approve_Flag := 'Y';" result in the invoices to be approved if I put this after the APPROVE path and if I put "X_Approve_Flag := 'N';", does that mean that the invoice would be rejected/unapproved so that I can put it after the REJECT path ?
    3)
    Custom workflow launch procedure to be fired from billing extension screen. Presently what is done is in the project template screen, in the billing assignment, the billing extension is referred.
    That means for each and every project, billing extension name will be attached.
    Present flow is once the generate draft invoice runs, triggers the billing extension, which in turn invoke the custom workflow launch procedure. But my question is how my workflow launch procedure is going to identify the particular transaction which triggered the workflow procedure, because based upon some project number/id/invoice number, I will have a select fetch the data into variable and then setting those into workflow attributes between create process and start process.
    So question is how my procedure will identify the transaction which invoked the procedure to get the particular project number/invoice number may be.
    I am not getting much from my research so thought of sharing with you if someone can assist me on the same.
    Regards,
    Ad

    Hi Krishna,
    Yes. I am invoking my workflow from billing extension only. I have entered the procedure name in the billing extension. Now when the draft invoice runs , setup is such that billing extension gets invoked as a result of "draft invoice for a single project".
    Till now its fine because my workflow is actually getting launched from here.
    Now custom requirement is that the approve and release in invoice review screen will be disabled and the approval process will have to be custom.
    Till this also its fine.
    A scenario is when the custom notification reaches approver. He/she would either approved or reject. If he approves, then invoice should get approved and then released.
    For this we tried and it seems no API is available as mentioned by Oracle. So whats the way to update invoice statuses ?. Presently we are going with a not recommended way - insert into tables . But I feel something should be there on updating status as per custom logic.
    You can let me know what u did for changing statuses
    When we do release from invoice review screen, an RA invoice number gets generated. I also need to update the table with the RA invoice number while insertion in table. Now there is no d/b sequence for this number. I have tried to open the logic of Release button and could get on table name PA_IMPLEMENTATIONS which always have 1 row for per OU. and there is a field next ra invoice number which shows the RA invoice number to be used. This value will keep on incremented as long as RA number gets generated.
    I am stuck at this place , on how to get the RA invoice number with some proper recommended method.
    In short I need a way to update inoice statuses like approved, released other than direct insert in table
    and how to get RA invoice number to be utilised while the release logic
    Regards,
    Ad

  • PA: Revenue Generation Auto Accounting Error

    Hello all,
    I ran PRC: Generate Draft Revenue for a Single Project report, I got AutoAccounting Error under Draft Revenue Generation Exception Report
    I know this is because of Accounting Rules, but i don't know which Auto-Accounting Function Rules that I have to check.
    Please guide me to move forward.
    Thanks and Regards,
    Muthu

    Hi,
    You can verify "Revenue and Invoice Accounts" Function Name and Unbilled Receivable Account & Unearned Revenue Account based on your Revenue Generation ahead of Invoice generation or vice versa.
    Thanks,
    SG

  • Is there a way to create a recurring revenue/billing event in Projects (r12)?

    Is there any way to create a revenue/billing event in Projects that can be scheduled (billed monthly until a specified end date) other than a customization?

    I think there is no standard way to do it. However you can use billing extension to create automatic events. You may define the DFF fields on Project or Task level for having a recurring amount and End Date which will be picked during Generate Draft Revenue or Generate Draft Invoices. You can check in extension that if the event is already created for that month, extension should not create another event for same month for that project or Task because user may run the processes several times in a month. Please note that there will not be any history kept for DFF changes for amount and dates.
    You may decide on other means to keep recurring amount and End Dates to maintain History.
    Awaiting more inputs from experts.
    Also consider below statement from User Guide:
    Transaction Independent
    Once you determine the inputs to your calculations, you can determine if your billing extension calculation is solely dependent on other transactions being processed, or if your calculation can be executed without any other transactions being processed. Transactions refer to expenditure items and events.
    Transaction independent billing extensions are executed for each project with an active billing assignment, even if there are no transactions to process. This type of billing extension relies on an input other than billable transactions on a project. If this input changes, the calculated billing amount changes, which you want to record. For example, the cost-to-cost revenue accrual method, which relies on the budgeted cost and revenue amounts. If the budgeted cost or budgeted revenue changes, the revenue amount changes. You want to record this revenue amount change even if no other transactions are processed in revenue generation. This category includes the class of billing extensions that calculate revenue and invoice amounts based on values independent of the amounts included on draft revenue and invoices.
    Note: If you design a billing extension to be transaction independent, it will be executed in every run of the revenue or invoice processes.
    AB

  • A way to create revenue wothout accounting?

    Hello
    On the implementatios in wich I am we are going to create projects with some months executed and they should have initial amounts.
    We will use accounted transaction for costs, but I cannot find a way to enter initial revenue, Can you help me please?
    Regards

    Hi
    Solution depends on the method the company is generating revenue.
    If revenue method is WORK, based on billable Expenditure Items, you might run the GDR process after importing all historical costs. Sysyem will generate draft revenue and you can interface that to GL.
    Alternatively, you can create billing events for each one of the project, where you populate the revenue amount. Now run the GDR, PRC: Generate Draft Revenue process, and interface to GL.
    Billing events can be loaded using Events API, if you don't want to create them manually on the form.
    If the initial revenue of the projects has been already accounted and booked in GL, you could prevent double accounting in any of the following methods -
    Setup AA rules to be used only during the migration period. The AA will debit and credit the same account in GL.
    Alternatively, setup the implementation options so revenue will not be interfaced to GL, during the migration period.
    Dina

  • Revenue Recognition Process in Oracle Projects

    I am new to Oracle Projects and needs to understand the Revenue Recognition process.
    User has created one Contract under which he has Intercompany Billing, Costing and Elimination Projects. He booked the cost under the Costing project and then ran a custom elimination program to eliminate the intercompany cost. Now he is going to the billing project and running the 'Generate Draft Revenue' program however nothing is getting generated. He wants to know why revenue is not getting recoginised.
    Is it the correct way of recognizing the revenue. The Distribution rule being used is Event/Event however no event has got created in the billing project to recognize the revenue.
    Please suggest.

    Hi ,
    The subcontracting process can be exceuted in the following way..
    The modules involved will be PA, PO, AP..
    This can be handle with the P2P cycle..
    Raise a servie PO with the project information in the PO distributions for the service that needs to be subcotracted..
    specify the matchig option in the PO Shipments
    Enter a receipt (Optional)
    Enter a AP invoice and match it with PO or receipt as per the requirement and then run PRC: Interface supplier costs..
    this will post the expenditure in the Projects.
    In addition to the above i fu have complex PO (with helding some portion of the order amount as retention, advace payment to be provded)
    this can be handled through creating the PO through selfsevice PO which accomodates this functionality.l
    Thanks
    Vijay.

  • Project Revenue as in Project Currency

    Hi ,
    Can we generate the revenue in the project Currency irrespective of Project Functional Currency ?
    In Project Billing Manual -- It has been mentioned that If you select Project Functional Currency and Invoice Transaction currency as Revenue Transaction currency On your Multi Currency Project , The GDR Process calculates Revenue in the Invoice Transaction Currency ..
    I tried to Check the Box in the Currency Tab Invoice By Billing Transaction currency and tried to select Revenue Transaction Currency as Project Functional and Functional and Invoice Transaction Currency but as soon as i Checked the Box , it get freezed and not letting me to select the Revenue Transaction Currency as Project  Functional and Invoice Transaction Currency .
    Can any one help me on this issue ...if it possible to generate the revenue in Project Currency and how to set up the same .
    Thanks /Regards ,
    Manoj

    Hi Manoj,
    On the Project > Options > Currency > Billing Tab
    Please Enable Multi Currency Billing, then select Revenue Transaction Currency and select according to your need.
    Invoice By Billing Transaction currency :
    When the Invoice by Billing Transaction Currency is enabled for the project, you can generate separate invoices for a project by each billing transaction currency, even
    though one or more invoices may be funded by the same agreement. Therefore, expenditures that are billed using markups or burden schedules to derive the bill
    amounts are grouped according to their expenditure transaction currencies.
    Revenue Transaction Currency options for your project :
    Oracle Project Billing selects the Project Functional Currency Only option for revenue transaction currency. This ensures that the Generate Draft Revenue concurrent program generates revenue in project functional currency. To enable the Generate Draft Revenue concurrent program to additionally derive revenue in invoice transaction currency.
    Project Functional Currency and Invoice Transaction Currency option :
    When you select Project Functional Currency and Invoice Transaction Currency as your revenue transaction currency, the Generate Revenue Accounting Events process calculates Unbilled receivables and Unearned revenue amounts in invoice transaction currency.
    Regards
    Raghavender K

  • Advance Billing with %Spent Revenue

    Hi Dina,
    My client has a scenario, where the commercial dept. would bill his customer based on the contract terms and conditions
    For example
    CV=100,000/- = Rev. Budget
    and milestones are ....
    M1=20% billing
    M2=30% billing
    M3=50% billing
    i.e, billing to customer should happen either with Percent Complete Invoice (20%, 30%,80%)*Rev Budget automatically
    Now Finance wants the revenue be generated based on %Spent (Cost-Cost) and the revenue be netted out at period end.
    Please suggest me how to achieve this .....would be of great help
    Regards,

    Hi
    I understand that the commercial contract with customer has a billing schedule of amount (percentage) per milestone, so you need to bill when milestone is completed.
    For that setup the Invoice / billing method as Event.
    You could upfront enter the billing events with their description and invoice amount, but don't enter the events completion dates.
    As project is executed, the project manager should notify the commercial department whenever a milestone is acheived, so that department will go to the events form, select the relevant event, enter the date, and process draft invoice. i.e. run the process PRC: Generate draft invoice for single project.
    For revenue setup the revenue method of the project as COST_TO_COST. Project manager should enter and baseline the Approved Cost Budget. The project should also have a Baselined Approved Revenue Budget equal the allocated funding.
    Once a period you would run the process to generate draft revenue, and system will create a revenue event based on the seeded formula of percent spent, for the additional revenue earned on that project.
    Dina

  • Custom Revenue Accounting Method

    Hi All,
    My client has a unique revenue recognition requirement. Basically they want an additional account "Deferred Rev Unbilled" for tracking the potential revenue from a project.
    If anyone has come across this scenario, please share over this thread.
    See the cases below:
    Month 1, $100 deal done % of complete, 50% invoiced up front, 50% on completion                    
    *******************************************************Dr*******Cr      
    Total Deal value***************Deferred Revenue------------------------------100
    Invoiced (First milestone)********Accounts Receivable--------------50      
    Unbilled Deferred Rev***********Deferred Revenue unbilled------50      
    Normally, what Projects does is:
    *******************************************************Dr*******Cr      
    Invoiced (First milestone)********Accounts Receivable--------------50      
    Deferred Rev*****************     Deferred Revenue------------------------------50
    Month 2, $75 recognized in revenue, no additional invoicing.
    *******************************************************Dr*******Cr
    % complete revenue***********Revenue-------------------------------------------75
    Deferred Revenue (total deal)****Deferred revenue------------------75      
    Amount recog past invoicing*****Unbilled AR---------------------------25      
    Unbilled Deferred Rev**********Deferred Revenue unbilled--------------------25
    What Project does is:
    *******************************************************Dr*******Cr
    ***************************Event Revenue----------------------------------75
    ***************************Unbilled AR---------------------------25
    ***************************Unearned Rev-----------------------50
    Month 3, $25 recognized in revenue, $50 invoiced
    *******************************************************Dr*******Cr
    % complete revenue**********Revenue--------------------------------------------25
    Deferred Revenue (total deal)***Deferred revenue-------------------25      
    Total Deal value**************Deferred Revenue-------------------------------50
    Invoiced (Second milestone)****Accounts Receivable----------------50      
    Amount recog past invoicing****Unbilled AR-----------------------------------------25
    Unbilled Deferred Rev*********Deferred Revenue unbilled---------25      
    What project does:
    For Revenue:
    *******************************************************Dr*******Cr
    **************************Event Revenue------------------------------------25
    **************************Unearned Rev---------------------------25
    For Invoicing:
    **************************Receivables-------------------------------50
    **************************Unbilled AR-------------------------------------------25
    **************************Deferred Revenue---------------------------------25
    Thanks
    SJ

    Hi
    Since the accounting you need are not supported by standard functionality, I may suggest a solution based on generation of pair of events, different event types, which are offsetting each other.
    Set up several event types, each will credit a specific account. You will need to setup revenue AA rules based on lookup set that map event type to account.
    For the functions of UBR and UER you may set up the same constant account, since we will not be affected by it.
    See the following list of event types:
    Event type Funding --->> Deferred Revenue
    Event type After invoice --->> Deferred Revenue unbilled
    Event type Revenue --->> Revenue
    Event type --->> Unbilled AR
    When you allocate fund for the project generate draft revenue creating an event of type Funding, which will -
    Credit 100 @ Deferred Revenue, debit Constant.
    Generate an invoice for 50
    Credit 50 @ constant and Debit AR
    generate revenue after invoicing for -50.
    Credit -50 @Deferred Revenue unbilled, and debit -50 @ Constant
    Month 2, generate revenue for 75, and on the same time add three events of different event types for -75, 25, -25.
    credit 75 @ revenue, and debit Constant
    credit -75 @ Deferred Revenue, and debit Constant.
    Credit 25 @ Deferred Revenue Unbilled, and debit Constant
    Credit -25 @ Unbilled AR, and debit constant.
    You will need to develop billing extension that will generate the events of the proper type, and proper amounts.
    Hope you can go on with that example....
    Dina

  • Error in the execution of custom billing extension in PA module

    Hi All ,
    We had a requiremnet for creating the custom billing extension similar to the standard Revenue calculation billing extension . The change required in the formula for calculating the revenue was the Actual total burdened cost will be the Actual total burdened hours and Budgeted baselined burdened cost will be the Budgeted baselined burdened hours .Rest of the entity in the standard revenue calculation program will be as per the standard revenue billing extension.
    The standard formula is as follows :
    (1)     revenue amount = Lesser of (Remaining Funding Available if hard limit used) and ((AC / BC (BR – ER) – AR)
    I created a package which will get the value of AC and BC as per the client requirement . Defined the Extension using PROJECT BILLING SUPERUSER >> SETUP>> Billing >> Extension screen .
    This extension was then assigned to a project type . WE created a project using the same project type . When we fire PRC: Generate Draft Revenue for single project and pass the project number it first calls the Standard billing extension and then the custom one becoz of this there is error in calculating the revenue through it .
    We are not sure where we are going wrong . The standard extension has been end dated too ..still everytime it executes the standard and then the custom one .
    Has one faced such issue ? Request for some pointers to solve the issue.
    Thanks and Regards
    MN

    Hi,
    My issue got solved. It was beacause there was a method in a Bean Interface which did not have an implementation in the Bean EJB.
    appc just gave a NPE.
    The issue came out when i did a weblogic.ejbc.
    Thanks.

Maybe you are looking for

  • NWDS not working in 64 bit windows vista os

    Hello , This question seems to be basic, How am i suppose to find out the NWDS installed on my system whether its a 32-bit or 64-bit I was initially working in NWDS on windows 32 bit OS , Now i have installed the NWDS into the other windows which is

  • Autostart in openbox doesn't work ...

    hi guys, i recently set up a nice and plain system using kde4 and openbox. everything's working fine except the autostart feature in openbox. i edited the autostart.sh and .xinitrc files several times, but none of my tries were successful i'm trying

  • Duplicating item in SBO

    Hello There Is there a way to duplicate an item using the DI? for example, I want to create a new code item, and assign it all the attributes of another item rgds hagai

  • Displaying Image in Smart form

    Hello CRM Gurus,                  I am getting the image Data, Is there any method or function module to dispaly image in smart form.......... with out Graphich Window..... Thanks, Feroz.

  • Skype Good Name Being Used to Scam

    Dear Skype Community  I received an email today, knowing it must of been a former of scam, it wasn't till I got home just now to block it. I noticed it used Skype as it's domain address while its forwarding name was a: noreply The full address was [e