Image File Encryption

Just like most on the forums around the net, having serious issues with BB encrytption of jpg's. BB stopped working and transfered all 400 pictures off of it (thankfully) to my Mac. I could not view the .jpg.rem images on my Mac, however I figured I would let it lie based on most of the posts. Got back my BB and transfered the images back onto the BB and all I see are image files with hourglasses? Tried turning off and on encryption, tried renaming and transfering files, emailing them, switching folders and just about every possible combination...to the point where I see that the "damaged or corrupted" error.
I still have the original transfer of files to my Mac, is there anyway I am ever going to see these pics again?

Nope, sorry. The encryption must be removed while on the SAME device, with the SAME operating system which contains the encryption keys. Once the device was wiped and the OS reloaded, those files are worthless.
1. If any post helps you please click the below the post(s) that helped you.
2. Please resolve your thread by marking the post "Solution?" which solved it for you!
3. Install free BlackBerry Protect today for backups of contacts and data.
4. Guide to Unlocking your BlackBerry & Unlock Codes
Join our BBM Channels (Beta)
BlackBerry Support Forums Channel
PIN: C0001B7B4   Display/Scan Bar Code
Knowledge Base Updates
PIN: C0005A9AA   Display/Scan Bar Code

Similar Messages

  • Is it safe to back up a disk image file when it's mounted?

    Hi -
    I use some small, encrypted ".sparsebundle" disk image files to keep my diary, banking info, etc., on. When I'm at the computer, I keep them mounted all the time.
    Is it safe to let Time Machine automatically back up the .sparsebundle disk image files, even while they are mounted? Or would there be a possibility the backups would be corrupted, if the operating system hadn't written out cached data or something to the disk image file?
    In other words, if I save any open documents on the mounted disk image, does the disk image file on disk always contain the fully-saved document and a consistent file system? Or could there still be some data cached in RAM, that would not be saved at the time the .sparsebundle file was copied? Is it always necessary to unmount a disk image before duplicating its .sparsebundle file?
    Do I need to turn off Time Machine's automatic backup, and just do manual "backup now" after unmounting the disk images? That's a major pain in the behind... I really want to just let Time Machine do it's hourly backups as usual, but of course there's no point if the backups are corrupt.
    Of course my own guess is "to be safe", I should unmount them before backing up. But again it's a big pain if it's not actually necessary. Does anyone know for sure?
    Thanks...

    My understanding is that Unix, generally, doesn't write everything out to disk immediately. There is caching in RAM to speed up disk access, including modified superblocks, modified inodes, and delayed reads and writes. Now, if you ask to read an individual file, the kernel makes sure all the cached data is written before it returns the read data. But if you try to do, for example, a block copy of the whole filesystem, the kernel doesn't know that, and there's no guarantee or expectation that the filesystem will be in a coherent state.
    That's the purpose, for example, of the "sync" command - to write all this cached data to disk before unmounting, shutting down, etc., and, that's why the OS gets so upset if you just unplug a firewire drive. Because even if you've saved and closed any open documents, they haven't necessarily been fully written out to disk yet.
    So what I don't understand, is how people are ever able to back up mounted disk image files without them getting corrupted. I mean, you'd think they would get corrupted all the time. It would be the equivalent of just unplugging your firewire drive before you made a backup of it, or of doing a block copy of a read/write mounted filesystem. There ought to be a very good chance of the filesystem being scrambled. So, why does it not happen?
    Is there something different about the Apple filesystem, or Apple disk images? Or, maybe we're only talking about delay times in the cache of fractions of a second, so as long as you haven't written anything within a few seconds of the copy, and don't write anything during it, you're ok?
    Even if Apple reps won't answer my question, the kernel and most likely all the low-level disk i/o and filesystem stuff is open source. Surely there's someone out there who would be knowledgeable enough to give a definitive answer? I was hoping to find someone like that here... I do appreciate your taking the time to answer my post, but maybe I need to go elsewhere, on some developers' mailing lists or something? Does anyone know of such a place I could start looking?
    Thanks...

  • File Encryption

    Hi Guys!
    Need some help and advice please! Basically what I'm trying to do is this. I have created my own encryption package which reads in strings and encrypts them using a secret key and then decrypts the cipher when provided with the secret key. What I need to do is encrypt files using this package. So for files with the extension ".txt" this should not be a problem as I could read in the text using a Reader of some sort and encrypt the contents to a new file, then delete the original file. However is there any way I can encrypt files of other types? Such as for example word document files and to an even greater degree other types of files such as music or image files?
    Thanks :)

    well, buffered reader would not be best choice for biary files or any other not plain text files.
    you should look into API (that friendly link, in the left column of this page, that says 'API'), and look into java.io or java.nio packages.
    if you want to read file, then maybe FileReader (just a quess) would help you....
    however, if you're encrypting some kind of file that has known format, like .doc might have, then you should know, that it makes it easyer to break your encryption, because attacker allready knows how this file should beginn (probably it has some .doc specific header).
    to overcome that problem, you should add salt to the text you're going to encrypt... that means you should mangle that text before crypting, so that id would not look like the original text did...

  • [Tip] How to protect Image file

    Hello,
    If you have ever wanted to protect your image file,
    especially within your Flash content,
    this article will be helpful.
    First of all, there are some points you need to protect when
    you deliver image data with Flash.
    1. Internet Browser Cache.
    Even though you use 'HTTPS' protocol when you deliver your
    file,
    if it is pass the 'Network (or Protocol) Layer' of your
    machine, it is already in 'plain' data
    (not encrypted), and can be read by Internet Browser.
    Those 'plain' data will be stored in Internet Browser's
    Cache directory.
    There are many Internet Browser Cache reader programs, and
    they can intercept those
    data before the Internet Browser program deletes the cache
    files.
    So, just using 'HTTPS' is not an answer. You need to encode
    your image data
    with your own (or with well known cypher algorithms)
    encoding/decoding methods.
    In this article, I want to explain more detail about this. I
    scramble the image
    so that make it hard to figure out what the original image
    was, not only with human eyes
    but also with 'strong enough' 'Key' length.
    2. Screen Capture.
    Even if you encoded your image data, it will be finally
    shown on your screen,
    without any noises, or any other ugly filters.
    So, you can 'Capture' your monitor screen itself.
    There can be many ways to avoid this. First, you can erase
    the system's 'Clip board'.
    You just can erase it regularly, or you can detect whether
    the Internet Browser -which is
    showing your image data- is active or not and erase clip
    board when it is active (in foreground),
    and hide your Flash area when the browser is inactive (in
    background).
    If a hacker just take a picture of monitor screen with high
    quality Camera,
    you still can avoid that, by showing some black rectangle,
    or any layers on the screen
    regularly only in very short period (like mili-second). But
    I think this way sometimes you feel
    tired or be annoyed when you watch that kind of blinking
    screen.
    == About my code ==
    What I want to present here today is 'modifying(encoding) the
    image' data itself.
    There are many ways you can try for modifying your image data
    and revert it back to original data.
    You can use legacy block cipher algorithms like DES, AES, for
    encoding and decoding the
    image data, because the image data (RGB values) what Flash
    uses is actually a combination of 'bit's.
    But if you decode the 'encoded image' data, you probably use
    'get pixel'/'set pixel' function for
    reconstructing the proper 'RGB' value of each pixel. But I am
    sorry that this function is extremely slow
    so that you need to wait for a couple of minutes to see an
    image.
    So, what I want to show you today is 'Scrambling the image'
    like 'picture puzzle',
    with using 'copyPixels' function which is 'extremely fast'.
    (Actually, there can be many other solutions for this, so
    please don't hesitate to proceed your own research)
    Let's say you have 8*8 pixel size of image blocks, and you
    completely disorder those blocks for
    entire image area. That size of block is too small to figure
    out where it should be, with our
    humble human being's naked eyes. So, you need to know the
    'answer', which is saying what image block
    was originally on which 'cell'. This 'answer' is the 'key'
    for my simple 'image scramble' logic.
    The 'key' I said here, you should understand that, is the
    'key' value of normal 'block cipher' algorithms.
    So, if you know the key, you can rearrange all those 'blocks'
    back, but if you don't know the key,
    simply you can't do that, or you need to wates tremendous
    time for that.
    Here, I use 16*16 size block for your eye's convenience, and
    with 8*8 size of 'matrix' which is
    comprised of each 16*16 size blocks. I mean, one 8*8 matrix
    has 64 blocks, so each block of
    one 'matrix' can be at '1st cell of matrix or 64th cell of
    matrix. So, the 'odds' is 'Factorial of 64',
    which is 64! == 1.268869322e+89 .
    Let's say you know my algorithm (complete source code), and
    you try every different 'matrix' values.
    If it takes 0.001 seconds to test one 'matrix' value you have
    chosen, it will take
    "(64!) / (1000*60*60*24*365) =
    4.0235582250724303685766549129617e+78" Years!!!! to find exact
    matrix value.
    == FLA sample ==
    In my sample *.fla file, I embedded all those 'key' values.
    So, you can not use my sample directly for your
    own project. But I think this sample will give you an useful
    idea for your own implementation.
    <* Exchanging the key values *>
    For example, you need to get the 'key' values from the
    server, only when it is needed.
    You can use 'loadVariables()' function for this purpose.
    But, all data delivered by 'loadVariables()' or
    'getUrl()', or any other functions of Flash, are
    'Cached'.... So, you need to exchange that 'key' values
    more safer way.
    One of that 'safer key exchange' is to use 'token'. For
    example, when the client requests the key value
    to the server, the client should generate an random value (I
    will call it 'token') and send it to the server.
    Now the server modifies the 'key' value 'with the token',
    and sends back to client. Finally, the client
    decode the 'key' value with the token value it generated.
    As you can see, my logic is just 'rearranging each blocks'
    with respond to the given matrix values (key).
    It is really really simple. There in the ActionScript code,
    you can see 3 matrix, and some other variables.
    var chessunit = 16 // Size of block
    var arrayw = 8 // Width of Matrix
    var arrayh = 8 // Height of Matrix
    var arraysize = arrayh * arrayw
    var chessarray = Array (arraysize) // Matrix for decoding
    chessarray = Array
    (64,37,5,35,45,25,52,38,12,61,34,23,3,26,39,58,32,28,17,2,60,16,59,19,57,48,43,18,47,21,4 0,15,31,6,44,46,30,51,27,56,20,24,13,7,42,1,49,54,36,53,9,50,4,8,10,14,33,11,22,63,55,29,4 1,62)
    // In case of the width of an image is not exactly the
    multiple of the width of matrix,
    // we need to encode the right most area of the image.
    // So, I simply use another matrix for only that area.
    // Maybe You can generate more bigger image, of which the
    width of it is exactly the multiple of
    // the width of matrix. And you let the decoder to show only
    the original size of the image.
    // Please try yourself. :)
    var dwArray = Array
    (32,19,3,18,23,13,26,6,31,17,12,2,20,29,16,14,9,1,30,8,10,24,22,11,15,28,7,4,21,25,27,5)
    // In case of the Height of an image is not exactly the
    multiple of the Height of matrix,
    // we need to encode the bottom most area of the image.
    // So, I simply use another matrix for only that area.
    // Maybe You can generate more bigger image, of which the
    Height of it is exactly the multiple of
    // the Height of matrix. And you let the decoder to show
    only the original size of the image.
    // Please try yourself. :)
    var dhArray = Array
    (40,23,4,22,29,16,33,24,8,38,21,15,2,17,37,20,18,11,1,10,12,36,30,27,13,25,28,14,19,32,35 ,7,5,26,3,31,34,6,9,39)
    You can change the block size, matrix size, any values you
    want.
    By the way, if the block size becomes smaller, you'll get
    more stronger security level. But you have to
    compensate it with the slow down of the performance.
    ** Ooops, I can not attach my sample *.fla file, but only
    ActionScript code here...
    But I believe you can try without testing my *.fla sample.
    If you really want to test it, please mail me.
    ([email protected])
    ** You need to 'export' image object, with the name of
    "img0".
    == Image encoder ==
    Encoding (Scramble) the original image is also simple. You
    just need to copy each blocks in reverse of
    the 'ActionScript code'. That's all. You just use same
    'matrix', but copy source and target reverse of
    ActionScript code. I think you can write it with any
    languages you like, C/C++, C#, Java, and
    anything.... right?
    == Block cipher ==
    Now, you know that my implementation is kind of 'intuitive'
    representation of 'block cipher'.
    More precisely, it is called 'ECB', which encodes each
    encoding block (matrix) with the key values
    from the beginning to the end. You can twist the matrix every
    time you pass one matrix area of an image.
    It is called 'chaining'. There are some 'chaining' block
    cipher methods like 'CBC'...
    (If you want to know more, please look up "
    http://en.wikipedia.org/wiki/Block_cipher_modes_of_operation
    Thank you for your time to read my humble article,
    and wish you Good luck. :)

    Hello Crandom,
    If you want to encrypt a critical strings, like special
    server script url or parameters, then it is simple.
    The most simple and faster method is to "XOR ing the string"
    with a key string. You use the same key string when you encode and
    decode (by Just using XOR). This is similar to 'Stream cipher'
    algorithms.
    And there is "open source cipher algorithm" (called
    AS-CryptoLib) written in ActionScript, including most of algorithms
    like Hash, Block cipher, RSA... if you want more stronger
    encryption strength..
    But I bet you also want to encode your script logic itself.
    In that case, you should write your own 'Interpreter'. For example,
    you encode all your ActionScript source code string, and decode it,
    and you interpret each script tokens like 'if' 'for' 'while',
    etc...
    Actually, your question also inspired me to think about
    loading script string and run it on the fly.
    Maybe.... we can start from this article...
    http://www.adobe.com/cfusion/knowledgebase/index.cfm?id=tn_16691"
    I suggest you just use commercial SWF file encrypting tools
    like Ameyata's "SWF encrypt". It changes the SWF file structure so
    that usual 'SWF file decompilers' can not read codes properly.
    And.... If you can use Flex2 (for your current project), and
    it is ok with you (If you don't need to change a lot in your
    current project) to use JavaScript to control your objects or
    behavior of SWF file, then I think there is another possible way.
    After you decode the Javascript string, you load a web page,
    or change the content of HTML file already opened, so that your new
    script will be working, as what you wanted to be. But I didn't test
    it :)
    Best regards.

  • Sending an Image file via UART

    Hi All,
    1. Is it possible to send an image file( .jpg -1080p) from PC to FPGA(ML605 evaluation board) via UART ?
    2. .jpg to .hex to .txt then  through hyper terminal ? or any  other way ?
    Meganadhan
     

    thanks ignacio
    i will try it letter
    but for sending an image to fpga, can i use realterm?  actually i'm doing image encryption project and the size pixel is 1600X1600, it's very big , but it's ok if it will take a long time for transfer it via UART because i just want to make sure that my alghorithm was true.
     and i have some trouble with the uart rx code. i would like to simulate it with random bit that represent transfer bit from pc, but it's hard to sychronise the timing between uart rx and the random bit program , here the code
    RANDOM BIT
    library IEEE;
    use IEEE.STD_LOGIC_1164.ALL;
    entity random is
    generic (
    g_CLKS_PER_BIT : integer := 87 -- Needs to be set correctly--> 10 MHZ/115200(baud rate)
    Port ( clk :in STD_LOGIC;
    output : out STD_LOGIC);
    end random;
    architecture Behavioral of random is
    signal counter: integer:=0;
    begin
    process (clk)
    begin
    if rising_edge(clk) then
    counter <= counter+1;
    if counter= (g_CLKS_PER_BIT-1) then
    output <= '1';
    elsif counter=55 then
    output <= '0';
    elsif counter=65 then
    output <= '0';
    elsif counter=75 then
    output <= '0';
    elsif counter=85 then
    output <= '1';
    elsif counter=95 then
    output <= '0';
    elsif counter=105 then
    output <= '0';
    elsif counter=115 then
    output <= '0';
    --elsif counter =1 then
    counter <= 0;
    end if;
    end if;
    end process;
    end Behavioral;
    ----------------------------------------------------------------------UART RX
    -- File Downloaded from http://www.nandland.com
    -- This file contains the UART Receiver. This receiver is able to
    -- receive 8 bits of serial data, one start bit, one stop bit,
    -- and no parity bit. When receive is complete o_rx_dv will be
    -- driven high for one clock cycle.
    -- Set Generic g_CLKS_PER_BIT as follows:
    -- g_CLKS_PER_BIT = (Frequency of i_clk)/(Frequency of UART)
    -- Example: 10 MHz Clock, 115200 baud UART
    -- (10000000)/(115200) = 87
    library ieee;
    use ieee.std_logic_1164.ALL;
    use ieee.numeric_std.all;
    entity receive is
    generic (
    g_CLKS_PER_BIT : integer := 87 -- Needs to be set correctly--> 10 MHZ/115200(baud rate)
    port (
    i_clk : in std_logic;
    i_rx_serial : in std_logic;
    o_rx_dv : out std_logic;
    o_rx_byte : out std_logic_vector(7 downto 0)
    end receive;
    architecture rtl of receive is
    type t_SM_MAIN is (s_IDLE, s_RX_START_BIT, s_RX_DATA_BITS,
    s_RX_STOP_BIT, s_CLEANUP);
    signal r_SM_MAIN : t_SM_MAIN := s_IDLE;
    signal r_RX_DATA_R : std_logic := '0';
    signal r_RX_DATA &colon; std_logic := '0';
    signal r_CLK_COUNT : integer range 0 to g_CLKS_PER_BIT-1 := 0;
    signal r_BIT_INDEX : integer range 0 to 7 := 0; -- 8 Bits Total
    signal r_RX_BYTE : std_logic_vector(7 downto 0):= (others => '0');
    signal r_RX_DV : std_logic := '0';
    begin
    -- Purpose: Double-register the incoming data.
    -- This allows it to be used in the UART RX Clock Domain.
    -- (It removes problems caused by metastabiliy)
    p_SAMPLE : process (i_clk)
    begin
    if rising_edge(i_clk) then
    r_RX_DATA_R <= i_rx_serial;
    r_RX_DATA <= r_RX_DATA_R;
    end if;
    end process p_SAMPLE;
    -- Purpose: Control RX state machine
    p_UART_RX : process (i_clk)
    begin
    if rising_edge(i_clk) then
    case r_SM_MAIN is
    when s_IDLE =>
    r_RX_DV <= '0';
    r_CLK_COUNT <= 0;
    r_BIT_INDEX <= 0;
    if r_RX_DATA = '0' then -- Start bit detected
    r_SM_MAIN <= s_RX_START_BIT;
    else
    r_SM_MAIN <= s_IDLE;
    end if;
    -- Check middle of start bit to make sure it's still low
    when s_RX_START_BIT =>
    if r_CLK_COUNT = (g_CLKS_PER_BIT-1)/2 then
    if r_RX_DATA = '0' then
    r_CLK_COUNT <= 0; -- reset counter since we found the middle
    r_SM_MAIN <= s_RX_DATA_BITS;
    else
    r_SM_MAIN <= s_IDLE;
    end if;
    else
    r_CLK_COUNT <= r_CLK_COUNT + 1;
    r_SM_MAIN <= s_RX_START_BIT;
    end if;
    -- Wait g_CLKS_PER_BIT-1 clock cycles to sample serial data
    when s_RX_DATA_BITS =>
    if r_CLK_COUNT < g_CLKS_PER_BIT-1 then
    r_CLK_COUNT <= r_CLK_COUNT + 1;
    r_SM_MAIN <= s_RX_DATA_BITS;
    else
    r_CLK_COUNT <= 0;
    r_RX_BYTE(r_BIT_INDEX) <= r_RX_DATA;
    -- Check if we have sent out all bits
    if r_BIT_INDEX < 7 then
    r_BIT_INDEX <= r_BIT_INDEX + 1;
    r_SM_MAIN <= s_RX_DATA_BITS;
    else
    r_BIT_INDEX <= 0;
    r_SM_MAIN <= s_RX_STOP_BIT;
    end if;
    end if;
    -- Receive Stop bit. Stop bit = 1
    when s_RX_STOP_BIT =>
    -- Wait g_CLKS_PER_BIT-1 clock cycles for Stop bit to finish
    if r_CLK_COUNT < g_CLKS_PER_BIT-1 then
    r_CLK_COUNT <= r_CLK_COUNT + 1;
    r_SM_MAIN <= s_RX_STOP_BIT;
    else
    r_RX_DV <= '1';
    r_CLK_COUNT <= 0;
    r_SM_MAIN <= s_CLEANUP;
    end if;
    -- Stay here 1 clock
    when s_CLEANUP =>
    r_SM_MAIN <= s_IDLE;
    r_RX_DV <= '0';
    when others =>
    r_SM_MAIN <= s_IDLE;
    end case;
    end if;
    end process p_UART_RX;
    o_rx_dv <= r_RX_DV;
    o_rx_byte <= r_RX_BYTE;
    end rtl;
    TOP
    library IEEE;
    use IEEE.STD_LOGIC_1164.ALL;
    entity top is
    port(cl_k: in std_logic
    end top;
    architecture Behavioral of top is
    --component random
    component random is
    generic (
    g_CLKS_PER_BIT : integer := 87 -- Needs to be set correctly--> 10 MHZ/115200(baud rate)
    Port ( clk :in STD_LOGIC;
    output : out STD_LOGIC
    end component;
    --component uart rx
    component receive is
    generic (
    g_CLKS_PER_BIT : integer := 87 -- Needs to be set correctly--> 10 MHZ/115200(baud rate)
    port (
    i_clk : in std_logic;
    i_rx_serial : in std_logic;
    o_rx_dv : out std_logic;
    o_rx_byte : out std_logic_vector(7 downto 0)
    end component;
    --signal
    signal mlebu:std_logic;
    signal enabl:std_logic;
    signal metu :std_logic_vector (7 downto 0);
    begin
    a:random port map( output=>mlebu,
    clk=>cl_k
    b:receive port map( i_clk=>cl_k,
    i_rx_serial=>mlebu,
    o_rx_dv=>enabl,
    o_rx_byte=>metu
    end Behavioral;
    regards
    halim

  • Time Machine (OSX) doesn't back up files in Mount Point or Disk Image File

    Hi all,
    I have two disk images which are scripted to be mounted on login. These two disk images are always mounted to the same location. These two disk images are encrypted TrueCrypt volumes.
    Time Machine (TM) will only back up the disk images the first time they are mounted, but not after that. As I modify documents within the volumes throughout the day, the modified timestamps are adjusted properly. However, TM does not back them up. TM never backs up the mount points which are two folders within my home directory.
    Any ideas as to why neither the mount point or the image files are backed up? Do the image files have to be closed (unmounted) after being modified for TM to back them up?
    Now if TM won't back up the image files because they are locked/open, then why does it back them up the first time even though they are mounted? Also, from what I've read, TM should back up any HFS+ drive which is mounted. Both these drives are HFS+, so it should back up the mount points? I can verify this by doing a 'mount' in the terminal, the following is echo'd back:
    /dev/disk0s2 on / (hfs, local, journaled) (Main System Drive)
    /dev/disk1 on /Users/username/Folder1 (hfs, local, nodev, nosuid, journaled, noowners, mounted by username) (First TrueCrypt volume)
    /dev/disk2 on /Users/username/Folder2 (hfs, local, nodev, nosuid, journaled, noowners, mounted by username)(Second TrueCrypt volume)
    Any ideas will help!
    Thanks,
    Chris
    Message was edited by: sleagle328

    Here is the resolution:
    Apparently time machine checks the parent folder's timestamp before moving into that folder to look for modified files.
    So as I was modifying files, the timestamps on the volumes were changing but not the containing directory. Because of this, TimeMachine saw the parent directory had not changed, so did not look for the changed volumes inside.
    So to resolve this, I wrote a simple touch script which touches the parent directory to match the modified volumes timestamp (if there is a modified volume).
    Thanks,
    Chris
    Message was edited by: sleagle328

  • Opening disk image files in Windows?

    Is there anything, free software or something like MacDrive (which I've never used) that will open disk image files, particularly encypted ones? I keep an encrypted disk image on my thumb drive with important information and I'd like to be able to open it in Windows. I recently came across the open-source TrueCrypt for Linux and Windows, but I would love some kind of software that would work on all three platforms, or at least OS X and Windows.
    Thanks,
    Alan

    PGP works on the Mac. They currently do not have a working version for intel based Macs. Your profile indicates you have a PowerMac so you should be good to go. Download the free trial and check it out.

  • Dividing a dmg Image file

    Im hoping one of you guys has an answer....
    I've created about 4 disk image files on a mac at work that I wanted to transfer to my ipod. After learning that i cannot transfer a file over 4gigs or so to the ipod because its based on a "fat32 system" I therefore need to split the 4 dmg files that are about 7 gigs each in half. When I try to transfer these to the ipod, the transfer goes up to the very last few megabytes and tells me that it cannot continue because there is information missing from the file. Is there any way in disk utility or another app where i can split a dmg file in half or something of the sort? Thanks!

    You can use Disk Copy 6.4 to open a .dmg file which isn't compressed or encrypted in Mac OS 9. The link is a direct download.
    (18778)

  • If image file not exist in image path crystal report not open and give me exception error problem

    Hi guys my code below show pictures for all employees
    code is working but i have proplem
    if image not exist in path
    crystal report not open and give me exception error image file not exist in path
    although the employee no found in database but if image not exist in path when loop crystal report will not open
    how to ignore image files not exist in path and open report this is actually what i need
    my code below as following
    DataTable dt = new DataTable();
    string connString = "data source=192.168.1.105; initial catalog=hrdata;uid=sa; password=1234";
    using (SqlConnection con = new SqlConnection(connString))
    con.Open();
    SqlCommand cmd = new SqlCommand("ViewEmployeeNoRall", con);
    cmd.CommandType = CommandType.StoredProcedure;
    SqlDataAdapter da = new SqlDataAdapter();
    da.SelectCommand = cmd;
    da.Fill(dt);
    foreach (DataRow dr in dt.Rows)
    FileStream fs = null;
    fs = new FileStream("\\\\192.168.1.105\\Personal Pictures\\" + dr[0] + ".jpg", FileMode.Open);
    BinaryReader br = new BinaryReader(fs);
    byte[] imgbyte = new byte[fs.Length + 1];
    imgbyte = br.ReadBytes(Convert.ToInt32((fs.Length)));
    dr["Image"] = imgbyte;
    fs.Dispose();
    ReportDocument objRpt = new Reports.CrystalReportData2();
    objRpt.SetDataSource(dt);
    crystalReportViewer1.ReportSource = objRpt;
    crystalReportViewer1.Refresh();
    and exception error as below

    First: I created a New Column ("Image") in a datatable of the dataset and change the DataType to System.Byte()
    Second : Drag And drop this image Filed Where I want.
    private void LoadReport()
    frmCheckWeigher rpt = new frmCheckWeigher();
    CryRe_DailyBatch report = new CryRe_DailyBatch();
    DataSet1TableAdapters.DataTable_DailyBatch1TableAdapter ta = new CheckWeigherReportViewer.DataSet1TableAdapters.DataTable_DailyBatch1TableAdapter();
    DataSet1.DataTable_DailyBatch1DataTable table = ta.GetData(clsLogs.strStartDate_rpt, clsLogs.strBatchno_Rpt, clsLogs.cmdeviceid); // Data from Database
    DataTable dt = GetImageRow(table, "Footer.Jpg");
    report.SetDataSource(dt);
    crv1.ReportSource = report;
    crv1.Refresh();
    By this Function I merge My Image data into dataTable
    private DataTable GetImageRow(DataTable dt, string ImageName)
    try
    FileStream fs;
    BinaryReader br;
    if (File.Exists(AppDomain.CurrentDomain.BaseDirectory + ImageName))
    fs = new FileStream(AppDomain.CurrentDomain.BaseDirectory + ImageName, FileMode.Open);
    else
    // if photo does not exist show the nophoto.jpg file
    fs = new FileStream(AppDomain.CurrentDomain.BaseDirectory + ImageName, FileMode.Open);
    // initialise the binary reader from file streamobject
    br = new BinaryReader(fs);
    // define the byte array of filelength
    byte[] imgbyte = new byte[fs.Length + 1];
    // read the bytes from the binary reader
    imgbyte = br.ReadBytes(Convert.ToInt32((fs.Length)));
    dt.Rows[0]["Image"] = imgbyte;
    br.Close();
    // close the binary reader
    fs.Close();
    // close the file stream
    catch (Exception ex)
    // error handling
    MessageBox.Show("Missing " + ImageName + "or nophoto.jpg in application folder");
    return dt;
    // Return Datatable After Image Row Insertion
    Mark as answer or vote as helpful if you find it useful | Ammar Zaied [MCP]

  • Error "A web exception has occurred during file upload" when trying to import ESXi image file into Update Manager

    I'm encountering this error and not sure how to fix, I'm quite new to vCenter so please bear with me.
    I'm trying out vCenter 5.1 with Update Manager 5.1 right now.  No license key has been entered and I still have 50 odd days to try it out.
    2 ESXi hosts are being managed by this vCenter, and they're both running ESXi 4.0
    I'm looking to use Update Manager to try to upgrade the ESXi 4.0 hosts to ESXi 5.1
    I downloaded the image file VMware-VIMSetup-all-5.1.0-799735.iso from VMWare website, and is looking to import it using the Update Manager so I can update the ESXi hosts, but I keep on getting the error:
    File name:     VMware-VIMSetup-all-5.1.0-799735.iso
    Failed to transfer data
    Error was: A web exception has occurred during file upload
    I tried importing it by using vSphere client to connect to vCenter server both remotely and locally, with firewall disabled.
    I've read http://kb.vmware.com/selfservice/microsites/search.do?language=en_US&cmd=displayKC&externalId=1026602
    I've disabled firewall, and there is no anti-virus program on the server.  I've also restarted the machine several times, to no avail, I didn't reinstall update manager because the whole Windows and VCenter installations are clean from scratch.
    I logged into the vSphere Client using Active Directory credentials, and I've made all Domain Admins (which my account is a member of) part of the administrator group on the vCenter server. I can't log in using admin@System-Domain because it tells me I don't have permissions for it, I still haven't really had the chance to figure out why that is and not sure if that makes a difference.
    Also, I'm fairly certain I'm using the right image file, as I've burned some DVD's used that image file to upgrade some other ESXi hosts.  Unless there's a special image file I need to download?
    I'm at lost on what I can do to make it work.  Please advise.
    Thanks.

    The ISO file you mentioned is the one for vCenter Server. What you need is the "VMware-VMvisor-Installer-5.1.0-799733.x86_64.iso" (or VMware-VMvisor-Installer-201210001-838463.x86_64.iso) for the ESXi host.
    André

  • A generic error occurred in GDI+ while assing tiff image file to Bitmap and Image

    Hi,
    I am getting "A generic error occurred in GDI+" error while reading the tiff image file to Bitmap or Image.
    Below is my sample code.
    string filePath=@"c:\Images\sample.tif";
    Bitmap bmp=new Bitmap(filePath);   // here getting exception
    int totalpages=bmp.GetFrameCount(.....);
    etc......
    I tried using Bitmap.FromFile() and also from FromStream() even for Image also but there is no use.
    Moreover i m having full permissions for the file path and the tiff file is having multiple pages.
    Can anyone help me to solve this issue please.
    Thanks & Regards,
    Kishore
    Kishore

    Make sure that the Tif file is valid (can other software open it)?  If you are able to save a Tif using GDI+, try saving that Tif, then opening it.  Part of me wonders if there is something about that specific Tif that GDI+ doesn't like.
    You could also try using WIC to open the TIF, perhaps you would have better luck there.

  • Error: Unable to locate an image file browser...

    I get the error below when I insert an image element and try to associate a picture to it by either double clicking it or using the browse button in the Draw palette.
    I have looked in my install directory and the "FileSystemBrowser.dll" file is present as well as the "ImageFileBrowserIDL.dll" file, so what gives?
    Running LiveCycle ES2 9.0.0.2.20120627.2.874785

    Hi,
    you should be able to fix that problem by re-registering Designer file browser DLL.
    http://thelivecycle.blogspot.de/2014/02/diy-bugfix-image-file-browser.html

  • Help me to do this "IMAQ"-2 image file attached

    Dear friends
    In this i attach my image file in Tif format & jpeg format
    Please go through this vi
    this vi it will display a image window when u click inside that window a square box will create, where ever u click it will create multiple box. i used imaq windraw function, u can see another image window in the front pannel also.
    now my aim is to put a box over that spot with the spot as center and to extract the pixel values of the individual boxes,
    now whats happening is when i run this program a image window is opening from the imaq windraw function in that 1ly i can able to put multiple box but that box is displayed in the image display in the front pannel also. but i cant put the box in the image window in the front pannel. if u click the mouse in the image window in the front pannel it will display the 25x25pixel values.
    i want both to be done in a same window i want to put a multiple square box and the pixel values i want to extract seperately for the individual boxes. please help me give some ideas how to do this please modify this vi.
    thank u
    sasi
    Attachments:
    1.zip ‏279 KB

    Sasi,
    I am a little confused on what exactly you want to do and why you are using both WinDraw and an Image Display Control to show the image. It sounds like what you want to be able to do are the following 3 things:
    1) Display the Image
    2) Click on the image and have a rectangle overlay appear on image
    3) Get the pixel values from that rectangle into an array
    If I am understanding you correctly, then you should only display the image using one of the above display methods and have only a signal case structure in your code that adds the overlay to the image AND extracts the pixel values. I am a little unclear as to why you have decided to only get the pixel values if the user clicks on the Image Display and only draw the rectangle if the user clicks on the WinDraw display.
    I have attached an image of a block diagram, which is what I think that you are trying to do. In the block diagram you can see that I have combined everything into one case structure so that whenever a user clicks on the Image Display (no WinDraw) then I add a rectangle overlay to my image and also get the pixel values for that area. If you want to keep track of the pixel values for each rectangle then you could use a shift register and build up a 3-D array with all of this information ... or write the data to file ... or use another method to keep that data for future use.
    Regards,
    Michael
    Applications Engineer
    National Instruments

  • Publisher hangs when I try to open an image file

    Publisher hangs when I try to open an image file from:
    right-click on image->Change Picture->Change Picture
    The image is on my hard drive.
    It also happens with powerpoint

    Few Questions:
    a. What version of publisher/PowerPoint are you using ?
    b. Are you able to insert this image through Insert -> Image ? Image itself could be corrupt. Try to open it with some other editor ?

  • How to display a dynamic image file from url?

    Hey,I want to display a dynamic image file from url in applet.For example,a jpg file which from one video camera server,store one frame pictur for ever.My java file looks like here:
    //PlayJpg.java:
    import java.awt.*;
    import java.applet.*;
    import java.net.*;
    public class PlayJpg extends Applet implements Runnable {
    public static void main(String args[]) {
    Frame F=new Frame("My Applet/Application Window");
    F.setSize(480, 240);
    PlayJpg A = new PlayJpg();
    F.add(A);
    A.start(); // Web browser calls start() automatically
    // A.init(); - we skip calling it this time
    // because it contains only Applet specific tasks.
    F.setVisible(true);
    Thread count = null;
    String urlStr = null;
    int sleepTime = 0;
    Image image = null;
    // called only for an applet - unless called explicitely by an appliaction
    public void init() {
                   sleepTime = Integer.parseInt(getParameter("refreshTime"));
              urlStr = getParameter("jpgFile");
    // called only for an applet - unless called explicitely by an appliaction
    public void start() {
    count=(new Thread(this));
    count.start();
    // called only for applet when the browser leaves the web page
    public void stop() {
    count=null;
    public void paint(Graphics g) {
    try{
    URL location=new URL(urlStr);
    image = getToolkit().getImage(location);
    }catch (MalformedURLException mue) {
                   showStatus (mue.toString());
              }catch(Exception e){
              System.out.println("Sorry. System Caught Exception in paint().");
              System.out.println("e.getMessage():" + e.getMessage());
              System.out.println("e.toString():" + e.toString());
              System.out.println("e.printStackTrace():" );
              e.printStackTrace();
    if (image!=null) g.drawImage(image,1,1,320,240,this);
    // called each time the display needs to be repainted
    public void run() {
    while (count==Thread.currentThread()) {
    try {
    Thread.currentThread().sleep(sleepTime*1000);
    } catch(Exception e) {}
    repaint(); // forces update of the screen
    // end of PlayJpg.java
    My Html file looks like here:
    <html>
    <applet code="PlayJpg.class" width=320 height=240>
    <param name=jpgFile value="http://Localhost/playjpg/snapshot0.jpg">
    <param name=refreshTime value="1">
    </applet>
    </html>
    I only get the first frame picture for ever by my html.But the jpg file is dynamic.
    Why?
    Can you help me?
    Thanks.
    Joe

    Hi,
    Add this line inside your run() method, right before your call to repaint():
    if (image != null) {image.flush();}Hope this helps,
    Kurt.

Maybe you are looking for

  • Getting INTERNAL_ERROR while updating tasks in OIM

    Hi, I have written a custom connector and wrote tasks to update the attributes in the target system. After the approval workflow the user got provisioned to the target system and i am able to update all the attributes like firstname,lastname etc. I h

  • There Is No Picture On Channel 477 & 466 Has A Bad Feed

    Channel 477 soy latino tv which was mexicanal has no image at all of the programs that should be there and it is a channel that is not off the air. Right now there are colored bars from the left side to the right side of the channel where the colors

  • Question for experts/ wrapping - data pump

    Hello All, In am using ORACLE 10.2.0.4.0 i have an issue while export / import wrapped procedures: I have some procedures / packages that are wrapped and when i do export for their related schema and then import it to the database, i got the below er

  • Opening iPhoto files in other programs

    Does anyone know how to open a photo stored in my iPhoto library in another program such as Photoshop? The only way I can think of is to open the iPhoto library through 'Show Package Contents' in Finder, selecting individual photos and 'Open With...'

  • Generating ProgressBar component at runtime.

    Hi All, I have the requirement of generating the ADF Components at runtime. One of which is af:Progressbar. I have written the code in the Managedbean to generate the same.                 ProgressIndicatorBean pgIndicatorBean =                     n