Panning, Delay, and Synth Arpeggiation set to a metronome

Hey guys,
Is there any way to take panning, delay,
and arppegiation effects and set them to
a metronome?
If I have a certain beat (ie. 125), I want to
make sure any syncopated effects are in time.
Thanks,
--Sean

Alien Implant wrote:
I'd be interested in what you experience if you try my scenario as I described >it, with the Logic compressor on a bass track with the sidechain pointing at a >bus with an Aux channel (any output other than the instrument mains) of Ultrabeat >loaded as a multi-instrument (12 or 16 outputs or whatever max it allows).
Well, I created the same conditions with UB using three drum pads - Kick, ClosedHH and OpenHH routing them as Auxes from the multichannel UB instance.
The Kick Aux is routed thru Bus 1 feeding the sidechaing of the Bass (ES1) Compressor, while the Closed HH feeds the sidechain of the (ES2) Noise Gate chopping the ES2 strings patch.
I exported a short non-voice over video just to show you that there are no timing side-chain issues ( this is most audible with the Nose Gate strings chopping ). Have a look at the video below.
*Sidechain Timing test* - [QUICK VIDEO|http://audiogrocery.com/video/sidechain_test.zip]
!http://img59.imageshack.us/img59/4967/aglogo45.gif!

Similar Messages

  • I've imported some midi tracks, used the mixer to set pan, volume etc, but when I stop playback and restart it sets every midi track back to '0'. I've been using LE for years, this is a new one, any ideas?

    I've imported some midi tracks, used the mixer to set pan, volume etc, but when I stop playback and restart it sets every midi track back to '0'. I've been using LE for years, this is a new one, any ideas?

    Hi,
    that's because Logic reads the volume information out of the imported MIDI tracks and sets the volume fader according to this information. The MIDI information overrides volume settings you have done, so you have to identify the MIDI events that contain volume (or expression or pan or whatever) information and delete them. You can use the event list editor for this.
    Fox

  • How to set input delay and output delay when source Synchronous

    ClkIN is the board clock which is connected to the FPGA. Clkif is the generated clock from ClkIN. The Device's clk come from Clkif. So, how to set input delay and output delay in this scene(within my understand, this is Source Synchronous)?
    The example in many document, the input delay and output delay setting all refer to board clock(within my understand, this is System Synchronous). In that scene, the input delay max = TDelay_max + Tco_max; input delay min = Tdelay_min + Tco_min; the output delay max = Tdelay_max + Tsu; output delay min = Tdelay_min - Th.
    So, I want to know how to set input/output delay in the Source Synchronous.
    In system synchronous, I set input/output delay such as:
    create_clock -period 20.000 -name ClkIN -waveform {0.000 10.000} [get_ports ClkIN]
    create_generated_clock -name Clkif -source [get_pins cfg_if/clk_tmp_reg/C] -divide_by 2 [get_pins cfg_if/clk_tmp_reg/Q]
    create_clock -period 40.000 -name VIRTUAL_clkif //make virtual clock
    set_input_delay -clock [get_clocks VIRTUAL_clkif] -min 0.530 [get_ports DIN]
    set_input_delay -clock [get_clocks VIRTUAL_clkif] -max 7.700 [get_ports DIN]
    set_output_delay -clock [get_clocks VIRTUAL_clkif] -min -0.030 [get_ports DOUT]
    set_output_delay -clock [get_clocks VIRTUAL_clkif] -max 1.800 [get_ports DOUT]
    *******************************************************************************************

    So, first. Architecturally, the clock that you forward to your external device should not come directly from the clock tree, but should be output via an ODDR with its D1 input tied to logic 1 and the D2 tied to logic 0. This guarantees minimal skew between the output data and the forwarded clock.
    ODDR #(
    .DDR_CLK_EDGE("OPPOSITE_EDGE"), // "OPPOSITE_EDGE" or "SAME_EDGE"
    .INIT(1'b0), // Initial value of Q: 1'b0 or 1'b1
    .SRTYPE("SYNC") // Set/Reset type: "SYNC" or "ASYNC"
    ) ODDR_inst (
    .Q (Clkif_ff), // 1-bit DDR output
    .C (ClkIN_BUFG), // 1-bit clock input
    .CE (1'b1), // 1-bit clock enable input
    .D1 (1'b1), // 1-bit data input (positive edge)
    .D2 (1'b0), // 1-bit data input (negative edge)
    .R (rst), // 1-bit reset
    .S (1'b0) // 1-bit set
    OBUF OBUF_inst (.I (Clkif_ff), .O (Clkif_out));
    This generates an output clock that is the same frequency as your input clock. This is consistent with your drawing, but inconsistent with your constraints - is the forwarded clock a 50MHz clock or a 25MHz clock?
    I will assume your ClkIN goes to a BUFG and generates ClkIN_BUFG.  Your first constraint generates a 50MHz clock on the ClkIN port which will propagate through the BUFG to (among other places) this ODDR.
    create_clock -period 20.000 -name ClkIN -waveform {0.000 10.000} [get_ports ClkIN]
    Assuming your forwarded clock is supposed to be 50MHz, then your 2nd command is close to correct
    create_generated_clock -name Clkif -source [get_pins cfg_if/ODDR_inst/C] -combinational  [get_pins cfg_if/ODDR_inst/Q]
    With this done, you have successfully described the forwarded clock from your design. This is the clock that goes to your device, and hence should be the clock which is used to specify your input and output constraints.
    set_input_delay -clock [get_clocks Clkif] -min 0.530 [get_ports DIN]
    set_input_delay -clock [get_clocks Clkif] -max 7.700 [get_ports DIN]
    set_output_delay -clock [get_clocks Clkif] -min -0.030 [get_ports DOUT]
    set_output_delay -clock [get_clocks Clkif] -max 1.800 [get_ports DOUT]
    If you want to get fancier, you could try adding a set_clock_latency to the forwarded clock to account for the board propagation of the clock
    set_clock_latency -source TDtrace2 [get_clocks Clkif]
    (But I haven't experimented with clock latency on a generated clock and I don't know for a fact that it works).
    Avrum

  • Classical Orchestral Sound:  Panning, EQ, and Convolution Filters

    Hi,
    I'm now studying the sections of the rather large, but quite informative
    book, The Guide to MIDI Orchestration, 3rd Edition, by Paul Gilreath
    to obtain details about how to use my non-ambient, dry samples of
    the orchestra to create a true, classical orchestra sound (not a
    hollywood sound), though my music is not 19th-century classical.
    I'm also studying the Apple Logic Pro plug-in book concerning the
    convolution filter, Space Designer.
    Being a beginner, my learning curve is rather slow. But, I am feeling
    more and more confident. And, this confidence is boosted by the
    fact that I have a 3.0 gHz Mac Pro that can handle, most likely,
    the processing required.
    I post this thread to see if there are others who might have similar
    goals and might have hints or ideas on this topic.
    For instance, does anyone out there go through the details of
    panning to place the instruments of the orchestra in their
    correct locations?
    Does anyone lower the EQ of the percussion section because they
    are placed further back in the orchestra?
    Does anyone deal with the details of singling out a particular section
    of the orchestra and consider "delaying" the sound, such as the
    percussion section, or perhaps the French Horns? If so, how do you
    achieve this? Do you use a plug-in for each section, or single out
    some sections for a plug-in, and plug every other section into another
    plug-in?
    So, if you all have any experience in these matters, or some "best settings"
    for some of these panning, EQ, and convolution filters (or even simple
    reverb plug-ins), I'd love to read about your experiences while I
    continue to study my books.
    Thanks,
    Sys6
    P.S.
    My orchestra is almost all non-ambient samples. Garritan Orchestral
    Strings. Westgate Studios Advanced Woodwind Collection. And,
    a new dry, brass collection which has not yet been delivered. My
    percussion is Apple Garage Band percussion (which I'm assuming is
    relatively dry).

    Hi,
    Here are some details of my set-up. I continued to read the MIDI
    Orchestration book, and the Logic Pro manuals where applicable.
    I set everything up, and then I visited the above links to read them
    in more detail, to see how they solved the problem. First, I don't pretend
    to have "solved the problem", but this is my first start.
    Following the MIDI orchestration book, I discovered that my Garritan
    Orchestral Strings were in Stereo, so I used them in stereo, specifically
    using the insert, Direction Mixer.
    Violins I and II, Violas, have a Direction Mixer spread of 1.3. Following
    the book, the Celli and Bassi were given a Direction Mixer spread that
    was wider, and I chose 1.5.
    I made all the brass monophonic, and used the pan pot on the bus.
    My Westgate Studios Advanced Woodwind Collection is mono, so
    again I used the pan pot on the bus.
    The percussion samples (piano, harp, timpani, and everyone else)
    are stereo, so I used dirmix also.
    For the most part, each section of the orchestra, such as either
    Violins I or oboes (and English Horn), each feed into their own
    bus. So, every section can be panned using the pan pod if
    they are mono, or using dirmix otherwise.
    Every section of the strings feeds into another bus dedicated to strings.
    Every brass section feeds into a similar bus dedicated to brass.
    The same for woodwinds, but there are two buses: one for the
    front woodwinds (flute family and oboe family) and one for the
    woodwinds sitting behind them (clarinet family and bassoon family).
    For some of the percussion: timpani and other miscellaneous
    percussion, for now, these go to their own bus. Also, piano
    and harp go to a pianoAndHarp bus. Tubular Bells,
    Marimba, and Xylophone share a bus. All these sub buses use
    dirmix for stereophonic spread and panning, all all these sub buses
    feed into a master percussion bus.
    Everyone audio instrument has its individual volume level set to
    -15 db. I may go over every instrument again and make it even
    lower, such as -20 db.
    There are six master buses (nearly at the top of the food chain):
    1. String Bus.
    2. Woodwind Bus Front
    3. Woodwind Bus Back
    4. Piano Harp Bus
    5. Brass Bus
    6. Percussion Bus
    Each of these higher-level buses received one insert: Space Designer
    (a convolution reverb unit).
    And, for each, Space Designer (at least at this time), is practically
    identical: that is, I chose
    33 Misc. Reverbs > Misc. Reverbs - Short Dark > 1.5s_Dark & Small
    which I like (for now, for chords anyway; later I may need a smaller
    room for music with notes moving at a faster clip and for music
    that is more chromatic).
    There is one parameter that differs for each of these six Space
    Designer inserts:
    1. String Bus: Pre-Delay 0 ms
    2. Woodwind Bus Front: Pre-Delay 1 ms
    3. Woodwind Bus Back: Pre-Delay 2 ms
    4. Piano Harp Bus: Pre-Delay 3 ms
    5. Brass Bus: Pre-Delay 4 ms
    6. Percussion Bus: Pre-Delay 5 ms
    Other parameters may differ over time. For instance, space designer
    seems pretty clever, it can add a pre-delay, it looks like it can
    act as a low pass filter, and so forth. So, maybe, over time, I might
    use it as a low pass filter for the percussion section, not sure yet
    (hard to tell, since my percussion section is not very good, it is
    Apple Jam Pack Orchestra).
    All these six busses feed into a final bus, which at this time
    does nothing, but pass everything on to Output 1-2.
    I focused my musical chords to be very soft or very loud in
    the score editor.
    That is, the goal is not to have the final mix exceed 0 db.
    Unfortunately, I failed in this regard, for in a rather loud
    section (at the end of the above sound file), the chimes played
    loudly brought me over the 0 db level. So, for now, I turned
    down the bus that these chimes feed into. There are other
    more advanced solutions, but for now, I am focusing on
    simple solutions (though I am always happy to hear about
    advanced solutions which I may implement at a later date).
    Over time, of course, I may adjust pannings, stereo pan width,
    and the like. But, being a beginning "sound recording engineer,"
    I am impressed with the results! Wow!
    As an aside, each of my 3.0 gHz processors is being used;
    two are used for effects processing (such as for the 6 Space
    Designer plug-ins), and two are being used for audio processing.
    No disk activity occurs (I use the latest Lacie external drive, the
    biggest I could find, and perhaps it is buffering enough files
    so that the drives are never accessed?).
    Thanks again, if there are other details I have left out, I'll
    update.
    Sys6

  • Read-Through Caching with expiry-delay and near cache (front scheme)

    We are experiencing a problem with our custom CacheLoader and near cache together with expiry-delay on the backing map scheme.
    I was under the assumption that it was possible to have an expiry-delay configured on the backing-scheme and that the near cache object was evicted when backing object was evicted. But according to our tests we have to put an expiry-delay on the front scheme too.
    Is my assumption correct that there will not be automatic eviction on the near cache (front scheme)?
    With this config, near cache is never cleared:
                 <near-scheme>
                      <scheme-name>config-part</scheme-name>
                      <front-scheme>
                            <local-scheme />
                      </front-scheme>
                      <back-scheme>
                            <distributed-scheme>
                                  <scheme-ref>config-part-distributed</scheme-ref>
                            </distributed-scheme>
                      </back-scheme>
                <autostart>true</autostart>
                </near-scheme>
                <distributed-scheme>
                      <scheme-name>config-part-distributed</scheme-name>
                      <service-name>partDistributedCacheService</service-name>
                      <thread-count>10</thread-count>
                      <backing-map-scheme>
                            <read-write-backing-map-scheme>
                                  <read-only>true</read-only>
                                  <scheme-name>partStatusScheme</scheme-name>
                                  <internal-cache-scheme>
                                        <local-scheme>
                                              <scheme-name>part-eviction</scheme-name>
                                              <expiry-delay>30s</expiry-delay>
                                        </local-scheme>
                                  </internal-cache-scheme>
                                  <cachestore-scheme>
                                        <class-scheme>
                                              <class-name>net.jakeri.test.PingCacheLoader</class-name>
                                        </class-scheme>
                                  </cachestore-scheme>
                                  <refresh-ahead-factor>0.5</refresh-ahead-factor>
                            </read-write-backing-map-scheme>
                      </backing-map-scheme>
                      <autostart>true</autostart>
                      <local-storage system-property="tangosol.coherence.config.distributed.localstorage">true</local-storage>
                </distributed-scheme>With this config (added expiry-delay on front-scheme), near cache gets cleared.
            <near-scheme>
                      <scheme-name>config-part</scheme-name>
                      <front-scheme>
                            <local-scheme>
                                 <expiry-delay>15s</expiry-delay>
                            </local-scheme>
                      </front-scheme>
                      <back-scheme>
                            <distributed-scheme>
                                  <scheme-ref>config-part-distributed</scheme-ref>
                            </distributed-scheme>
                      </back-scheme>
                <autostart>true</autostart>
                </near-scheme>
                <distributed-scheme>
                      <scheme-name>config-part-distributed</scheme-name>
                      <service-name>partDistributedCacheService</service-name>
                      <thread-count>10</thread-count>
                      <backing-map-scheme>
                            <read-write-backing-map-scheme>
                                  <read-only>true</read-only>
                                  <scheme-name>partStatusScheme</scheme-name>
                                  <internal-cache-scheme>
                                        <local-scheme>
                                              <scheme-name>part-eviction</scheme-name>
                                              <expiry-delay>30s</expiry-delay>
                                        </local-scheme>
                                  </internal-cache-scheme>
                                  <cachestore-scheme>
                                        <class-scheme>
                                              <class-name>net.jakeri.test.PingCacheLoader</class-name>
                                        </class-scheme>
                                  </cachestore-scheme>
                                  <refresh-ahead-factor>0.5</refresh-ahead-factor>
                            </read-write-backing-map-scheme>
                      </backing-map-scheme>
                      <autostart>true</autostart>
                      <local-storage system-property="tangosol.coherence.config.distributed.localstorage">true</local-storage>
                </distributed-scheme>

    Hi Jakkke,
    The Near Cache scheme allows to have configurable levels of cache coherency from the most basic expiry based cache to invalidation based cache to data versioning cache depending on the coherency requirements. The Near Cache is commonly used to achieve the performance of replicated cache without losing the scalability aspects of replicated cache and this is achieved by having a subset of data (based on MRU or MFU) in the <front-scheme> of the near cache and the complete set of data in the <back-scheme> of near cache. The <back-scheme> updates can automatically trigger events to invalidate the entries in the <front-scheme> based on the invalidation strategy (present, all, none, auto) configured for the near cache.
    If you want to expire the entries in the <front-scheme> and <back-scheme>, you need to specify an expiry-delay on both the schemes as mentioned by you in the last example. Now if you are expiring the items in the <back-scheme> for the reason that they get loaded again from the cache-store but the <front-scheme> keys remain same (only the values should be refreshed from the cache store) then you need not set the expiry-delay on the <front-scheme> rather mention the invalidation-strategy as present. But if you want to have a different set of entries in <front-scheme> after a specified expiry delay then you need to mention it in the <front-scheme> configuration.
    The near cache has the capability to keep front scheme and back scheme data in sync but the expiry of entries is not synced. Always, front-scheme is a subset of back-scheme.
    Hope this helps!
    Cheers,
    NJ

  • Pan settings and sometimes volume levels change during playback

    pan settings and sometimes volume levels change during playback without my touching them in Logic X! I haven't set any automation and strangely a similar thing happened to some plug-ins i used in pro tools m-powered. Any ideas as to why this is happening would be greatly appreciated? Cheers

    pan settings and sometimes volume levels change during playback without my touching them in Logic X! I haven't set any automation and strangely a similar thing happened to some plug-ins i used in pro tools m-powered. Any ideas as to why this is happening would be greatly appreciated? Cheers

  • Pan No and PF account no displayed on payslip

    Hey guys,
    What are the steps I need to follow for the Pan no and PF account no to be displayed on the payslip?
    Regards,
    Ally

    Hi ,
    In the single feild window you can always mention the Table name P0185
    you need to go to the following config
    Go to Payroll> India> Forms> Remuneration statement --> Setting up forms with view( old proceduer)
    -->Setting up form> set up data in fixed postion and mention the feild inthe table as P0185-ICNUM
    Now go again to the PE 51 and check in single feilds
    Regards
    Hemant

  • Pan-Scan and Letterbox??????

    What is the difference in the Display Mode of 16x9 Pan-Scan then 16x9 Pan-Scan and Letterbox???
    Thanks

    What you want to use is Letterbox, not Pan & Scan or Pan & Scan/Letterbox. (Using both allows the user to choose which one)
    From the manual (do a search for Pan-Scan for more info in the PDF/manual)
    The pan-scan method of displaying 16:9 video on a 4:3 Monitor was developed as a compromise between letterbox, which displays all the video content but with black bars at the top and bottom, and the only other alternative: filling the enitre 4:3 screen, but cropping some of the content.
    Broad stroke - Pan-Scan uses "vectors" to control what part of a video is shown.
    Final Cut and DVD SP cannot add the vectors and if you set the playback it may lead to results you do not want (i.e., only center part of the video being shown.)
    Practically if you shot 16:9 and really shot with 4:3 in mind, the outside areas may not be needed, but you need to look at the footage....

  • Where to find undefined symbol delay and drv_usectohz

    Hello,
    i use WS6U1 on Solaris 5.8 and i try to use the kernel function delay (example see "man delay").
    I get 2 undefined symbols "delay" and "drv_usectohz", but i don't know which lib-file to include.
    Can anybody help ?
    kind regards
    Frank

    Hi,
    From the man page of "delay " it appears that:
    Kernel Functions for Drivers delay(9F)
    NAME
    delay - delay execution for a specified number of clock
    ticks
    SYNOPSIS
    #include <sys/ddi.h>
    void delay(clock_t ticks);
    The above include should help you to find the symbols.
    Hope path settings are set correctly. The header file "ddi.h" having these symbols is in /usr/include/sys. Can you reconfirm same at your end.
    HTH.
    Thanks,
    Santosh

  • I can't setup delay and speed for my Keyboard on Gnome3.

    I just can't to use with Delay and Speed option for my keyboard.
    How i can set it?
    If i use with 'xset' on my terminal, its work ok for this session terminal (or i use ~/.bashrc), so how i can get it for Global system?
    Thanks a lots.
    Image: http://i54.tinypic.com/1zwcc4g.png
    Last edited by KingYes (2011-06-26 17:00:57)

    it looks weird. Could be video card driver related.
    Maybe this could be useful sabayon`s wiki you can set the keyboard delay using Xorg.

  • Decisive answer 2 difference between Pref Stereo Panning Mode and Advanced Session Properties Mixing

    Since Steve refused to give a clear answer on this issue, I will attempt to address it.
    I think the Preferences>Stereo Panning Mode only has to do with the panning of individual stereo tracks and there overall volume level.
    Where as the Advanced Session Properties>Mixing>panning mode Has to do Only with the Master Channel's panning and overall volume level.
    amirite?

    What I mean is that Under Preferences>stereo panning mode it only affects the volume of tracks when they are panned, it has no effect on the overall volume.
    Under Advanced session properties>mixing>panning it subtracts 3dB when set to -3dB center, if everything is panned center.
    I don't understand exactly what what the latter does when set to L/R logarithmic other then it makes the session not play at -3dB but rather at the file(s) real level, if everything is panned center.
    apparently, when using L/R logarithmic for either one: if everything is panned in different directions and you have some mono tracks, all bets are off .

  • Can i insert delay and export to audio only affected wave data?

    Let me explain with example,
    2 notes of snare in the piano roll. I insert echo delay and set what ever i want. I want to export audio only the reflection of this delay effect, not the 2 notes of snare.
    Possible?

    Happy to help!

  • HT5012 I am having difficulty XMIT/REC text messages to family members using Android phones?  I have a 3GB data plan and all switches and buttons are set properly.  Any suggestions?

    I am having difficulty XMIT/REC text messages to family members using Android phones?  I have a 3GB data plan and all switches and buttons are set properly.  Any suggestions?

        Hello APVzW, we absolutely want the best path to resolution. My apologies for multiple attempts of replacing the device. We'd like to verify the order information and see if we can locate the tracking number. Please send a direct message with the order number so we can dive deeper. Here's steps to send a direct message: http://vz.to/1b8XnPy We look forward to hearing from you soon.
    WiltonA_VZW
    VZW Support
    Follow us on twitter @VZWSupport

  • Just got new air and trying to set up. When I put in my Apple ID and password it comes up with error message 'can't sign in because of server error. Try again signing on.' Trying again does not fix. I know my ID and PW are right as work on other devices.

    Just got new air and trying to set up. When I put in my Apple ID and password it comes up with error message 'can't sign in because of server error. Try again signing on.' Trying again does not fix. I know my ID and PW are right as work on other apple devices I have.

    Using FaceTime http://support.apple.com/kb/ht4319
    Troubleshooting FaceTime http://support.apple.com/kb/TS3367
    The Complete Guide to FaceTime: Set-up, Use, and Troubleshooting Problems
    http://tinyurl.com/32drz3d
     Cheers, Tom

  • I forgot all of the answers to my security questions and when I set up my apple id I did not add a rescue email, I recently got a new iphone and I cannot purchase anything on it because it asks me to answer my security questions which i can't reset, help!

    I forgot all of the answers to my security questions and when I set up my apple id I did not add a rescue email, I recently got a new iphone and I cannot purchase anything on it because it asks me to answer my security questions which i can't reset because I do not have a rescue email. what do I do?

    this does not help. I have forgotten ALL of the answers to my security questions. I can NOT reset the answers to my security questions without answering two of the three security questions. The other way to reset the answers to these questions is for apple to send me an email on my rescue email addres which I DO NOT HAVE.

Maybe you are looking for

  • Blanket PO - Invoice Verification

    Dear Gurus, I am facing a problem while posting IV against a Blanket PO. I have created a PO with document Type FO and used account assignment K and Item category B. Put the Overall Limit 1000 and expected limit as 2000, it showed me 2000 as the net

  • I cannot select multiple objects.

    I cannot select multiple objects within the file I'm currently working on.  This has worked in the past.  Have I inadvertently changed a setting?

  • PDF files commented with Android MyLibrary

    Hello. I read a pdf book using Android MyLibrary software, and I made comments and marks on the file. Now when I moved the pdf file back to my PC Adobe Reader shows neither comments or marks -- I got a clean file as when I downloaded it. I read aroun

  • REMOVE ORACLE PRODUCT IN NT

    제품 : ORACLE SERVER 작성날짜 : 1997-06-16 REMOVE ORACLE PRODUCT IN NT =========================== 1. oracle 에 관련된 services 를 stop 한다. - open the Control Panel in the Main program group - open the "Services" icon - scroll down and look for any Oracle relat

  • TNS Listener Poison Attack - CVE-2012-1675

    I have few databases from Oracle 9i to Oracle 11g. Many are standalone instances,and few RAC instances. My questions are 1) For standalone instances, will the following setting in listener.ora file and restarting listener addresses this vulnerability