SbRIO 9606 + NI 9693 + SMBus

Hello
I work with sbRIO9606 and two devices connected by NI9693. 
Pinout in Table 5  from this manual (Single-Board RIO OEM Devices) shows SMBus at pin 25 and 27.
So there are some questions:
1. Could I make it visible for FPGA IO nodes using 'RMC EEPROM Image Programmer' project?
2. Will this activation keep functionality of NI 9693? These two modules which are connected are still needed.
3. If, then how can I connect physical device, are those pins routed somewhere on sbRIO 9606 ?
Regards
Mikrobi (Zbigniew St. Sobków)____________________________________________________________
"You can lead a horse to water, but if you can get him to float on his back you've got something."

Hi Mikrobi,
The SMBus capabilities are dedicated to the EEPROM functionality.  Currrently, there isn't a way to expose the SMBus lines when the NI 9693 RMC is attached, nor is there a general purpose driver to use the SMBus port for communicating with a battery monitoring system or other ICs.
If you need I2C/SMBus capabilities from LabVIEW FPGA, there are several examples and tools network drivers available on ni.com.  Depending on the complexity of your battery monitor, I'm sure you can adapt one of the drivers or examples to meet your needs.  Unfortunately, when the NI 9693 RMC is attached, it consumes all the available DIO lines on the RMC connector.  You could consider the sbRIO-9623 or 9626 as a Single-Board RIO with the RMC connector and 4 additional DIO lines on an additional connector.  Or you could export the I2C protocol through one of your C Series modules, if you are using a Digital I/O module that can tristate on a per line basis.
Regards,
Spex
National Instruments
To the pessimist, the glass is half empty; to the optimist, the glass is half full; to the engineer, the glass is twice as big as it needs to be...

Similar Messages

  • LVDS Input on sbRIO 9606

    We have a Single Board RIO 9606. We would like to connect Linear LTC2170 ADC to this board. The ADC outputs are differential (LVDS).
    1) In LabVIEW, is it possible to configure the FPGA I/O on sbRIO 9606 for LVDS inputs?
    2) Else is it possible to do it by integrating some VHDL code to LabVIEW code?
    3) Else what hardware options can we use?

    Same question... caméra link bus to sbrio 9606.
    No answer ???

  • Using external clock on sbRIO 9606

    I'm trying to use LTC2170 ADC with sbRIO 9606. The ADC chip has serial data outputs, a frame start output and a data clock output. How can I use the frame start and data clock outputs of the ADC in LabVIEW to read serial data bits from the ADC? The shifting in of the serial data should start with the frame start output of the ADC and each bit should be shifted in with the data clock output of the ADC.
    Attachments:
    21721014fb.pdf ‏1520 KB

    http://zone.ni.com/reference/en-XX/help/371599D-01​/lvfpgaconcepts/external_clocks/

  • How much current does the VBAT pin draw on the sbRIO-9606?

    I would like to provide a vbat voltage to the sbRIO-9606 in order to keep the RTC accurate. I am having a hard time finding information about how much current the VBAT pin will draw to keep the RTC alive. Any recommendations for providing this voltage are welcome.
    Thanks,
    Kristen

    Hi J_bou,
    Thanks for helping with this question. I am still a bit confused though. Where is there documentation/information about the sbRIO-9606 shipping with a battery? Is this something that comes in the developer kit, but not integrated on the board itself? I have seen forum posts that suggest other RIO boards have a coin cell integrated that is rated for the life of the board without needing a charger, but I don't see anything about this for the 9606 version. If the board ships with an installed/integrated battery, the user would not need to provide an external voltage. Are there any application notes about this that could help?
    The user's guide does clearly state the voltage requirements if a user wants to provide an external battery to keep the RTC alive, but there is no information about the current draw to tell how fast the RIO will discharge the battery. Does the battery model you mention shipping with the RIO need a charger, or is it meant to last for the lifetime of the sbRIO board?
    Best,
    Kristen

  • CANopen with single board RIO sbRIO 9606

    Hello,
    I am searching for any support considering  CANopen functionality applied on single board RIO sbRIO 9606.
    There are some tutorials for cRIO using FPGA, but is it possible to translate the known PDOs or SDOs to the format which can be than used with the available Embedded CAN for sbRIO?
    Thank you in advance for any comment!

    Hello Jesse,
    that the embedded CAN driver doesn't have the CANopen functionality is clear, but according to the fact that the lower level protocol implementing is usually (CAN) it should be possible to write VI which will allow the usage of CANopen commands combined with the embedded CAN driver for single board RIO. Or?
    There are some examples for RIO which do allow CANopen functionality using the CAN module.
    Can you please send me additional information considering the basic CANopen master functionality.
    Thank you in advance.
    zolee

  • SbRIO 9606 communicat​ion dropout

    Hello,
    I have been having issues with my sbRIO-9606 and NI9683 GPIC set up when operating a switching power converter. When the voltage is high, the sbRIO loses communication with the computer I am using to program. That is, the ethernet communication drops out. The converter is floating and all the analog signals are isolated. I have follows the guidelines of differential signal connection to the GPIC AI to minimize common mode noise. The sbRIO chassis is solidly grounded to the earth ground. The power input is floating. The switching frequency is 2.5kHz. The analog and digital PWM signals are not isolated due to the power module/gate driver I am using.
    I have noticed that if I ground the power ground of the sbRIO/GPIC, the issue is a bit better, that is I can go to a higher voltage without losing comms but problem still persists.
    Has anybody experience this issue before? Any ideas on what other measurements I can make or solutions I can try?
    Thanks you reading.

    Hey, 
    Since we don't see the behavior with normal 10V signals, it's possible that your converter is creating some transients that could be going outside of the acceptable voltage or current range for the 9606 and causing issues. I would scope your signal to see if that's the case. 
    I would also check to see if just ethernet communication is going out, or if the entire sbRIO is going offline by having it regularly log something to a file on the sbRIO. By checking the log, you should be able to see if only communication was lost, or if the entire device stops.
    Britton C.
    Applications Engineer
    National Instruments

  • LabVIEW 2012 Error -61017 sbRIO-9606

    I get this error when I using the Open FPGA VI Reference.
    The FPGA VI compiles and executes properly but when I try to use it with RT, I get the above error.
    Any clues?
    Thank you!

    I had a similar problem last week which I had to go through NI Support to fix.
    My problem was that my bitfile was up to date and would run fine interactively but when I tried to Open FPGA Reference in my VI I got error -61017. If i force a recompile it would then run until I rebooted my PC and it would do the same again.
    In my case, the problem was caused by moving from LV2012 to LV2013 and I had an unused FIFO in the project which was never called in the FPGA VI...for some reason the reference to the FIFO was still there in LV2012 but not there in LV2013.
    The 'fix' was to create a new project, add the FPGA VI to it and compile it under another project and then link the original Open FPGA reference to the new bitfile (or try a bitfile in a different location or a different bitfile altogether) which then updated the FPGA reference, broke my VI because the FPGA reference no longer had the FIFO (quick fix) and then it ran fine from then on.
    Maybe the same will work for you but if not, someone might find this after searching for the same error code.
    Certified LabVIEW Architect, Certified TestStand Developer
    NI Days (and A&DF): 2010, 2011, 2013, 2014
    NI Week: 2012, 2014
    Knowledgeable in all things Giant Tetris and WebSockets

  • Can not see a sbRIO in MAX running on a virtual machine

    I am trying to connect a sbRIO-9606 to a Windows 7 virtual machine (VMWare) running on a MacPro.  I can open a CMD prompt and ping the sbRIO but when I run MAX, it does not appear in Remote Systems.
    The virtual NIC is bridged to the pyhsical one (on the MAC) 
    Does anyone have experience doing this, or know why I cannot see the sbRIO?

    Hi jaketc,
    I've had similar issues at times depending on how my host and guest operating sytems network interfaces are configured.  It sounds like your settings are correct (bridged), but it is difficult to guarantee.  The first step I usually take is disable any network interfaces (on the host and guest OS) that are not critical to connecting to the remote target.
    A more generic answer is to walk through the troubleshooting steps in this document, but it won't cover the virtualized OS considerations of your setup:  
    Why Does My CompactRIO Controller or Single-Board RIO Not Show Up in Measurement & Automation Explor...
    Because you can ping the target, it sounds like the Single-Board RIO already has an IP address, you know what it is, and ethernet communication can be established.  When I've been in that situation in the past, I've been able to manually add the RIO target to MAX.  To find a system that is already configured with an IP address, simply right-click on Remote Systems and select Create New. Enter the appropriate configuration information in the dialog box, and MAX will manually place that system in the Remote Systems listing and all tasks will function properly.
    Cheers,
    Spex
    National Instruments
    To the pessimist, the glass is half empty; to the optimist, the glass is half full; to the engineer, the glass is twice as big as it needs to be...

  • Embedded CAN for sbrio 1.0.1 versus embedded CAN for RIO 14

    Hi, 
    I have to send CAN messages using embedded CAN llb in a RT vi running on a sbRIO 9606. If  using embedded CAN for RIO 14 I get an error of "transmission queue full" whereas with the embedded CAN for sbRIO 1.0.1 I don't have this error. I run the vi under the same conditions (just changing the llb) and I read the messages with a NI-PCI 8512 in a loop running at 2ms for both cases, sending 19 frames (the sending loop period is variable, but never below 5ms). If I reduce the number of frames I don't have any errors, even with CAN for RIO 14, but I don't understand why I can't send the same number of frames that I am able to send with CAN for RIO 1.0.1 in the same conditions.
    What does it change between the two llb? With the new llb, I have to change the way of writing the code (compared to what I was used to do before with the 1.0.1)?
    I have attached an example to better explain the problem.
    Thanks,
    Paolo
    Attachments:
    compare embedded CAN for RIO_101_14.zip ‏317 KB

    You need Office for Mac 2011 already installed on the machine before any update may be applied.
    Run any Office application, Word will do. Under the application name in the menu bar, click About Word. See if the version reported is already 2011.14.1.0 (service pack 1) or later. If it is, you can download the 2011.14.4.4 update (latest) and install that. If it is not up to 2011.14.1.0, then you will need to install that update version before you can install the 14.4.4 release.

  • How many CAN ports available on 9606

    Except for CAN0, is there any CAN port on RMC connector? Since the processor of MPC5125 has 4 CAN ports, can I use all of these ports?

    Hi newbear,
    The capabilities that Jeff mentioned are detailed in the latest version of the sbRIO-9606 user manual:
    http://www.ni.com/pdf/manuals/373378d.pdf
    The CAN and other peripherals built into the PPC are multiplexed.  Most of the processor IO pins are already used for onboard tasks (Ethernet, Serial, USB, RAM, Flash, bus to FPGA, etc), but with an RMC with onboard configuration EEPROM, you can dedicate the pins Jeff mentioned as a second CAN port.
    Regards,
    Spex
    National Instruments
    To the pessimist, the glass is half empty; to the optimist, the glass is half full; to the engineer, the glass is twice as big as it needs to be...

  • Measure 16 strain gages simultaneously with SBRIO 9626 + 2 NI 9235 modules

    Hello everyone,
    I am currently working on a deformation measuring application. I need to measure 16 strain gages simultaneously, using a SBRIO 9626 + NI 9693 (two C Slots) + 2 NI 9235. I started checking out the example included for measuring strain with shunt calibration on 4 gages with one 9235 module through the FPGA, modded it to read 8 gages without any issue.
    The problem comes up when i try to use two modules for reading 16 gages. I modified the getting started example in serveral ways without any success, only can read continuously the 9235 on slot 1, and apparently, i only get an initial reading on the other 9235, and then nothing more. Below is attached the code (note that i am no expert on FPGAs, and i've been trying different configurations, and at some point, not precisely knowing what i was doing ), any advice on how to put both 9235 to work simultaneously will be appreciated.
    thank you.

    Hi s.jimenez
    First of all, thank you for your prompt answer. Regarding your suggestion, i hadn't checked the Basic Synchronizing NI 923x example, my bad . After reading and understanding it a little, i tried to adapt it to my situation, sadly with no success. Some of the mistakes that i corrected were:
    one FIFO for acquiring data from both modules
    used single I/O nodes for start/stop and acquiring data from both modules
    changed the clock settings of the modules to: slot1 module providing clock signal for the slot2 module
    the only big difference that i notice between the example is that i added the shunt calibration procedure to the sequence, do you think this is the problem?
    summing up, i havent been able to obtain continuous readings from the second module, any additional help could be greatly appreciated.
    best regards.
     

  • How to import Verilog codes into LabVIEW FPGA?

    I tried to import Verilog code by instantiation followed by the instruction in http://digital.ni.com/public.nsf/allkb/7269557B205B1E1A86257640000910D3, 
    but still I can see some errors while compiling the VI file.
    Simple test Verilog file is as follows:
    ==============================
    module andtwobits (xx, yy, zz);
    input xx, yy;
    output reg zz;
    always @(xx,yy) begin
    zz <= xx & yy;
    end
    endmodule
    ==============================
    and after following up the above link, we created the instantiation file as
    ==============================================
    library ieee;
    use ieee.std_logic_1164.all;
    entity mainVHDL is
    port(
    xxin: in std_logic;
    yyin: in std_logic;
    zzout: out std_logic
    end mainVHDL;
    architecture mainVHDL1 of mainVHDL is
    COMPONENT andtwobits PORT (
    zz : out std_logic;
    xx : in std_logic;
    yy : in std_logic);
    END COMPONENT;
    begin
    alu : andtwobits port map(
    zz => zzout,
    xx => xxin,
    yy => yyin);
    end mainVHDL1;
    ==============================================
    Sometimes, we observe the following error when we put the indicator on the output port,
    ERROR:ConstraintSystem:58 - Constraint <INST "*ChinchLvFpgaIrq*bIpIrq_ms*" TNM =
    TNM_ChinchIrq_IpIrq_ms;> [Puma20Top.ucf(890)]: INST
    "*ChinchLvFpgaIrq*bIpIrq_ms*" does not match any design objects.
    ERROR:ConstraintSystem:58 - Constraint <INST "*ChinchLvFpgaIrq*bIpIrq*" TNM =
    TNM_ChinchIrq_IpIrq;> [Puma20Top.ucf(891)]: INST "*ChinchLvFpgaIrq*bIpIrq*"
    does not match any design objects.
    and interestingly, if we remove the indicator from the output port, it sucessfully compiles on the LabVIEW FPGA.
    Could you take a look at and please help me to import Verilog to LabVIEW FPGA?
    I've followed the basic steps of instantiation on the above link, but still it won't work.
    Please find the attachment for the all files.
    - andtwobits.v : original Verilog file
    - andtwobits.ngc: NGC file
    - andtwobits.vhd: VHD file after post-translate simulation model
    - mainVHDL.vhd: instantiation main file
    Since there is no example file for Verilog (there is VHDL file, but not for Verilog), it is a bit hard to do the simple execution on LabVIEW FPGA even for the examples.
    Thank you very much for your support, and I'm looking forward to seeing your any help/reply as soon as possible.
    Bests,
    Solved!
    Go to Solution.
    Attachments:
    attach.zip ‏57 KB

    Hi,
    I am facing problem in creating successfully importing  VHDL wrapper file for a Verilog module,into LabVIEW FPGA using CLIP Node method. Please note that:
    I am working on platform SbRIO-9606.
    Labiew version used is 2011 with Xilinx 12.4 compiler tools
    NI RIO 4.0 is installed
    Xilinx ISE version installed in PC is also 12.4 webpack ( Though I used before Xilinx 10.1 in PC for generating .ngc file for verilog code FOR SbRIO 9642 platform, but problem remains same for both versions)
    Query1. Which versions of Xilinx ISE (to be installed in PC for generating .ngc file) are compatible with Labview 2011.1(with Xilinx 12.4 Compiler tools)? Can any version be used up to 12.4?
    Initially I took a basic and gate verilog example to import into LabVIEW FPGA i.e. simple_and.v and its corresponding VHDL file is SimpleAnd_Wrapper.vhd
    ///////////////// Verilog code of “simple_and.v”//////////////////////
    module simple_and(in1, in2, out1);
       input in1,in2;
       output reg out1;
       always@( in1 or in2)
       begin
          out1 <= in1 & in2;
       end
    endmodule
    /////////////////VHDL Wrapper file code of “SimpleAnd_Wrapper.vhd” //////////////////////
    LIBRARY ieee;
    USE ieee.std_logic_1164.ALL;
    ENTITY SimpleAnd_Wrapper IS
        port (
            in1    : in std_logic;
            in2    : in std_logic;
            out1   : out std_logic
    END SimpleAnd_Wrapper;
    ARCHITECTURE RTL of SimpleAnd_Wrapper IS
    component simple_and
       port(
             in1    : in std_logic;
             in2    : in std_logic;
             out1   : out std_logic
    end component;
    BEGIN
    simple_and_instant: simple_and
       port map(
                in1 => in1,
                in2 => in2,
                out1 => out1
    END RTL;
    Documents/tutorials followed for generating VHDL Wrapper file for Verilog core are:
    NI tutorial “How do I Integrate Verilog HDL with LabView FPGA module”. Link is http://digital.ni.com/public.nsf/allkb/7269557B205B1E1A86257640000910D3
    In this case, I did not get any vhdl file after “post-translate simulation model step” in netlist project using simple_and.ngc file previously generated through XST. Instead I got was simple_and_translate.v.
    Query2. Do I hv to name tht “v” file into “simple_and.vhd”?? Anyways it did not work both ways i.e. naming it as “simple_and with a “v” or “vhd” extension. In end I copied that “simple_and.v” post translate model file, “simple_and.ngc”, and VHDL Wrapper file “SimpleAnd_Wrapper.vhd” in the respective labview project directory.
    Query3. The post-translate model file can  also be generated by implementing verilog simple_and.v  file, so why have to generate it by making a separate netlist project using “simple_and.ngc” file? Is there any difference between these two files simple_and_translate.v generated through separate approaches as I mentioned?
    2. NI tutorial “Using Verilog Modules in a Component-Level IP Design”. Link is https://decibel.ni.com/content/docs/DOC-8218.
    In this case, I generated only “simple_and.ngc” file by synthesizing “simple_and.v “file using Xilinx ISE 12.4 tool. Copied that “simple_and.ngc” and “SimpleAnd_Wrapper.vhd” file in the same directory.
    Query4. What is the difference between this method and the above one?
    2. I followed tutorial “Importing External IP into LABVIEW FPGA” for rest steps of creating a CLIP, declaring it and passing data between CLIP and FPGA VI. Link is http://www.ni.com/white-paper/7444/en. This VI executes perfectly on FPGA for the example”simple_and.vhd” file being provided in this tutorial.
    Compilation Errors Warnings received after compiling my SimpleAnd_Wrapper.vhd file
    Elaborating entity <SimpleAnd_Wrapper> (architecture <RTL>) from library <work>.
    WARNING:HDLCompiler:89"\NIFPGA\jobs\WcD1f16_fqu2nOv\SimpleAnd_Wrapper.vhd"    Line 35: <simple_and> remains a black-box since it has no binding entity.
    2. WARNING:NgdBuild:604 - logical block 'window/theCLIPs/Component_ dash_Level _IP_ CLIP0/simple_and_instant' with type   'simple_and' could not be resolved. A pin name misspelling can cause this, a missing edif or ngc file, case mismatch between the block name and the edif or ngc file name, or the misspelling of a type name. Symbol 'simple_and' is not supported in target 'spartan6'.
    3. ERROR:MapLib:979 - LUT6 symbol   "window/theVI/Component_dash_Level_IP_bksl_out1_ind_2/PlainIndicator.PlainInd icator/cQ_0_rstpot" (output signal=window/theVI/ Component_dash_Level _IP_bksl_out1_ ind_2/PlainIndicator.PlainIndicator/cQ_0_rstpot) has input signal "window/internal_Component_dash_Level_IP_out1" which will be trimmed. SeeSection 5 of the Map Report File for details about why the input signal willbecome undriven.
    Query5. Where lays that “section5” of map report? It maybe a ridiculous question, but sorry I really can’t find it; maybe it lays in xilnx log file!
    4. ERROR:MapLib:978 - LUT6 symbol  "window/theVI/Component_dash_Level_IP_bksl_ out1_ind_2/PlainIndicator.PlainIndicator/cQ_0_rstpot" (output signal= window / theVI/Component_dash_Level_IP_bksl_out1_ind_2/PlainIndicator.PlainIndicator/ cQ_0_rstpot) has an equation that uses input pin I5, which no longer has a connected signal. Please ensure that all the pins used in the equation for this LUT have signals that are not trimmed (see Section 5 of the Map Report File for details on which signals were trimmed). Error found in mapping process, exiting.Errors found during the mapping phase. Please see map report file for more details.  Output files will not be written.
    Seeing these errors I have reached the following conclusions.
    There is some problem in making that VHDL Wrapper file, LabVIEW does not recognize the Verilog component instantiated in it and treat it as unresolved black box.
    Query6. Is there any step I maybe missing while making this VHDL wrapper file; in my opinion I have tried every possibility in docs/help available in NI forums?
    2. Query7. Maybe it is a pure Xilinx issue i.e. some sort of library conflict as verilog module is not binding to top VHDL module as can be seen from warning HDLCompiler89. If this is the case then how to resolve that library conflict? Some hint regarding this expected issue has been given in point 7 of tutorial “How do I Integrate Verilog HDL with LabView FPGA module”. http://digital.ni.com/public.nsf/allkb/7269557B205B1E1A86257640000910D3. But nothing has been said much about resolving that issue.  
    3. Because of this unidentified black box, the whole design could not be mapped and hence could not be compiled.
    P.S.
    I have attached labview project zip folder containing simple_translate.v, simple_and_verilog.vi file,SimpleAnd_Wrapper.xml,  Xilinx log file after compilation alongwith other files. Kindly analyze and help me out in resolving this basic issue.
    Please note that I have made all settings regarding:
    Unchecked add I/O buffers option in XST of Xilinx ISE 12.4 project
    Have set “Pack I/O Registers into IOBs” to NO in XST properties of project.
    Synchronization registers are also set to zero by default of all CLIP I/O terminals.
    Please I need speedy help.Thanking in you in anticipation.
    Attachments:
    XilinxLog.txt ‏256 KB
    labview project files.zip ‏51 KB

  • How can i do a sine wave with High Throughput Sine for fpga?

    I try to do a sine wave looking the examples but i can't. I have a sbRio 9606. 
    I need to do a sine wave with high throughput block. In my exercise i have 2 inputs (amplitude and frecuency) and i have to look the output (sinewave)
    I need help!!

    Hi pablosan,
    If I understood correctly, you want to generate a sine wave with a high throughput block in Labview FPGA.
    I'm afraid you won't e able to do this, as these blocks are specifically designed for other FPGA targets with better features for high performance. So instead of using the example named "Sine and Cosine.lvproj" under High Throughput examples, you can use the "Sine Wave.lvproj" under Signal Generation and Processing examples, which is more adequate to your Single-Board RIO.
    Regards.

  • FPGA ERROR:HDLCompiler:806

    Hi Guys,
    I am having trouble compiling a piece of FPGA code that converts an array of four U8 numbers into a floating point number. 
    There are no labVIEW reported errors on the block diagram but when I attempt to compile (press the run button) I get the following error in the compilation status window.
    LabVIEW FPGA:  The compilation failed due to a xilinx error.
    Details:
    ERROR:HDLCompiler:806 - "C:/NIFPGA/jobs/SD41b8F_kGH6B4K/DeleteArrayNode_39.vhd"
       Line 38: Syntax error near "/".
    INFO:HDLCompiler:1061 - Parsing VHDL file
       "C:/NIFPGA/jobs/SD41b8F_kGH6B4K/DiagramReset.vhd" into library work
    I am compiling for a sbRIO-9606 and my operating system in Windows 7 64bit with LabVIEW 2011.
    I found this post: http://digital.ni.com/public.nsf/allkb/2DA4F6A0C206E03A862578E9007DFECE that seems to refer to this problem being fixed for LV2011.
    Please find my VI attached.
    -Adam
    Adam Amos | CPE Systems
    [email protected]
    Attachments:
    To Float.vi ‏23 KB

    Adam,
    So the error has to do with the 3rd delete from array in your program as the result is a size 0 array. This is a known issue. Logically you could replace the 3rd delete from array with a wire and your code should compile and behave the same the since there are only 23 elements left in your array.
    Kyle Hartley
    RIO Product Support Engineer
    National Instruments

  • Determine which function uses DSP on LabVIEW FPGA Code

    Hello All,
    I need some help, during optimization of our code on FPGA (sbRIO 9606) we faced with problem where we need to know which function is using DSP and which function is not using DSP (we are talking about on board DSP48's).
    Now my question is: Is there any way to find which function is exactly using DSP (for example add, sub, mul and so on) and how many, in which cases it's using it?
    Thank you with best regards.
    Orbel

    Hello Orbel,
    I found this thread, I think it is going to be useful for you.
    Is there an obvious way to prevent an FPGA multiply from using DSPs?
    You are going to find a KB and a CAR associated to that forum. Please check this document linked below, it is also useful (explicit use of DSP).
    An Introduction to High-Throughput DSP in LabVIEW FPGA
    Regards

Maybe you are looking for

  • Error OTF end command // missing in OTF dat

    Hi I am converting a smartform of a PO into a PDF. when i am using the option of pint immediately in message option of PO. I am getting this error OTF end command // missing in OTF dat . when i am using option 3 which in not print immediately. i am a

  • All itunes data gone...can I reload from Time Machine and external HD

    Okay, so maybe I was the stupid one and deleted all of my ITunes library....don't yell, I just wanted to free up some HD space... Fortunately I use Time Machine and an external HD to back everything up..can I reload my Itunes library from Time Machin

  • Cant activate my iweb

    Had to blow-out my imac and start over... Reinstalled all, and reloaded saved folder from iweb, now I cant open it...  

  • MacBook Pro Freezes while rendering menu's

    I Got a new MacBook Pro 2.2Ghz (Nov 2), with plenty of hard drive space left (80G), decided to create an iMovie which was great no problems here, then decided wanted to burn to DVD. Created a DVD using the Wedding 07 theme and then went to try and cr

  • How to dynamically populate IDropDownListController

    Hi! My question is how can I dynamically populate the IDropDownListController widget? In the sample project WriteFishPrice the entries are all static, but I need to add or remove entries in the list. Thanks for any pointers!