Baby Giants counter incrementing on interface?

Hi,
I have a 7600 PE router running SRD2a with a WS-X6708-10GE card being used for the wan links (DWDM). The baby giants counters on the interfaces are incrementing very fast. Looking at Cisco.com I dont think it's an issue as it's just MPLS packets,etc that are above the usual ethernet 1518 bytes size.  Hopefully this is not something to worry about?! If so what I dont understand is why the baby giant counters are not incrementing on other wan links on the network. On most wan links we have 10G ES cards and I'm wondering if this is the reason?
Thanks in advance for any answers!

Fyi - I mentioned this to our company Cisco support contact.
The giant counter incrementing does not indicate the packet was dropped, only that the frame size exceeded 1548bytes. The giants counter is going to increment for any frame greater than 1548 bytes on 6708 cards. It is an expected behaviour. This is fixed in the next generation of port ASICs and that's why you don't see this behaviour on ES cards.

Similar Messages

  • "frags delayed" counter incrementing for Voice PVC

    Hi,
    We are using VoFR between two Cisco 2610 using FXO\FXS Cards. It is a point-point link with two PVCs, one for Voice and one for Data.
    I have implemented Traffic-Shaping and FRF. However when i do a "show frame pvc " command, i can see "frags delayed"counter incrementing for the Voice PVC, indiciating delay in sending packets and thus compromising Voice Quality.
    1. Is it normal to have this counter increasing ? What is the acceptable percentage i.e "frags delayed \ total frags" ?
    2. Is there anything i can do ? Would PVC Priority Queuing help ?
    I need to be sure if PVC Priority is the solution, as we would have to do a Flash Upgrade to install the new software with this feature.
    ++++++++++++++++++++++++++
    show frame pvc 103
    PVC Statistics for interface Serial0/0 (Frame Relay DTE)
    DLCI = 103, DLCI USAGE = LOCAL, PVC STATUS = ACTIVE, INTERFACE = Serial0/0.3
    input pkts 373951 output pkts 374604 in bytes 11542352
    out bytes 12245392 dropped pkts 0 in FECN pkts 0
    in BECN pkts 0 out FECN pkts 0 out BECN pkts 0
    in DE pkts 0 out DE pkts 0
    out bcast pkts 5474 out bcast bytes 1571038
    pvc create time 11w3d, last time pvc status changed 04:06:31
    Service type VoFR-cisco
    Voice Queueing Stats: 0/100/0 (size/max/dropped)
    Current fair queue configuration:
    Discard Dynamic Reserved
    threshold queue count queue count
    64 16 2
    Output queue size 0/max total 600/drops 0
    configured voice bandwidth 30000, used voice bandwidth 0
    fragment type VoFR-cisco fragment size 320
    cir 32000 bc 320 be 0 limit 40 interval 10
    mincir 32000 byte increment 40 BECN response no
    frags 374604 bytes 12261814 frags delayed 6501 bytes delayed 1609296
    shaping inactive
    traffic shaping drops 0
    +++++++++++++++++++++++++++++++++++

    The following links explains the delay in voice traffic and gow to do traffic policing
    VoIP over Frame Relay with QoS (Fragmentation, Traffic Shaping, LLQ / IP RTP Priority)
    http://www.cisco.com/warp/public/788/voice-qos/voip-ov-fr-qos.html#15
    Troubleshooting Output Drops with Priority Queueing
    http://www.cisco.com/warp/public/105/priorityqueuedrops.html
    Understanding Delay in Packet Voice Networks
    http://www.cisco.com/warp/public/788/voip/delay-details.html
    Voice QoS: ToS-CoS Mapping Via LLQ
    http://www.cisco.com/warp/public/788/voice-qos/tos-cos.html
    Frame Relay Traffic Shaping for VoIP and VoFR
    http://www.cisco.com/warp/public/788/voip/fr_traffic.html

  • How can I get the number request's (count) for One interface

    Hi Guru's,
    I have a requirement,One interface say Hello world._How can I get the number request's (count) for this interface_.I thing with BAM it's possible.
    But I have no idea about BAM.If is there any alternative please give directions and instruction how to achieve this task.
    Can any body help.Thanks in advance.
    Regards
    Mani

    You have to query the SOA_INFRA schema to get the answer.
    Build a select query in cube_instance table.
    Thanks,
    Vijay

  • Problems with counter in renaming interface not maintaining consistency across multiple libraries

    Happy New Year, all.
    We have a problem in Aperture that I was curious if others had, and I'm hoping somebody has figured out a workaround they will share with me. Originally, we used Aperture and it had one huge library for our different types of photography. We had to change away from that setup because if there was a problem it would take ages to troubleshoot a ~500GB library and perform actions like rebuilding the library. We didn't want to do this, but splitting into six libraries has improved the speed in general and has made rebuilding smaller individual libraries quicker.
    We shoot a lot of photos and want each photo to have a unique number (along with a custom name). We set up a rename option in Aperture that has "Custom Name_Counter" and set counter to be six digits. The problem this seemed to create is that the counter in the rename function doesn't produce a unique number consistently across libraries. If I'm in library A, and I rename a batch of files, the counter will go up and remember its last number as long as I stay in Library A. The minute I switch to Library B, the number is at where it was the last time I used Library B. This indicates to me that the preferences travel with the library.
    Does anybody know a way that I can have a global preferences file, rather than a library preferences file? It seems it maybe used to be this way, but one of the version 3 upgrades forced me to delete a preferences file for the Facebook bug a couple of version 3 subversions ago.
    On a different note, another problem with renaming is that it is so slow. Renaming master files for even 100 or so files takes minutes. Does anybody else have this happen? Sometimes it's faster, but I haven't been able to figure out a pattern to this.
    I've submitted feature requests for revamping the renaming interface for Aperture for at least a couple of years. It never seems to improve. iView Media Pro, a program I used six years ago, had a great renaming setup and I wish Aperture
    Maybe it's time to reinstall Aperture. I bought it on disc, so it's not through the App store. Does anybody have experience reinstalling? I would, of course, like to keep keywords and other preferences.

    hallerphoto wrote:
    Machine is a Mac Pro dual quad-core 2.16 GHz.
    I am unaware of a 2.16 GHz Mac Pro tower. Are you referring to a Macbook Pro or to an iMac? Or is it a configuration I am just unaware of?
    My concern is that it seems that you may be making major workflow compromises that might be better dealt with by hardware changes as feasible. E.g. most 2.16 GHz Mac CPUs are about 1/6 as strong as a top Mac Pro today or about 1/4 as strong as today's Macbook Pros, and that has huge implications on Aperture performance.
    Also, graphics processors of the 2.16 GHz era were ridiculously weak compared to modern Macs. Aperture has historically performed best with strong GPUs (e.g. the strongest G5 towers would not run Aperture without a GPU upgrade). If you stay with the existing box a GPU upgrade may (if feasible) be in order.
    You did not mention RAM, which has defining impact on Aperture performance.
    Even if no hardware upgrades are made, it is useful to know what hardware performance bottlenecks may exist. So some questions:
    • Which Mac(s), exactly?
    • Which OS version and which Aperture version?
    • How much RAM is on board?
    • If a Mac Pro, which GPU card is in use?
    • What mass storage (hard drives and SSDs), how connected and how full?
    Thanks.
    -Allen

  • When is the generation counter incremented

    Hello,
    I have added a signale handler to SIMPSERV.
    Upon HUP signal I exit from the server program. The server exits and is automatically restarted (after the grace period).
    I was expecting the generation counter to be incremented. But it actually did not. In fact it never is incremented at all.
    Does anybody know in what conditions this counter is incremented ?
    ----------- UBB --------
    *SERVERS
    DEFAULT:
    CLOPT="-A --"
    MAXGEN=3 GRACE=5 RESTART=Y
    MIN=1 MAX=5
    TMUSREVT SRVGRP=SYS SRVID=100
    SEQUENCE=1
    FLOGSERV SRVGRP=LOG1 SRVID=10
    SEQUENCE=10
    CLOPT="-A -- -f /tmp/message.out -l 100"
    MAX=1
    ------- UBB ----------
    ------- CMD ----------
    {abc]$ kill -HUP <serv-pid>
    ------- CMD ----------

    Herb,
    The GRACE period is specified in seconds, so the current settings in your
    UBBCONFIG mean that an instance of this server cannot be restarted more than
    3 times within 5 seconds. Since the BBL can only detect that a server has
    failed when its SANITYSCAN runs, which is by default every 120 seconds, it
    is impossible for the BBL to notice the server has died, restart it, notice
    it has died again, restart it, and notice that it has died again all within
    5 seconds.
    GRACE should be set to a much greater value; the default of 86400 seconds
    (24 hours) is a good value, and 18000 seconds (5 hours) would also be a
    good value.
    Ed
    <herbert koelman> wrote in message news:[email protected]..
    Hello,
    I have added a signale handler to SIMPSERV.
    Upon HUP signal I exit from the server program. The server exits and is
    automatically restarted (after the grace period).
    I was expecting the generation counter to be incremented. But it actually
    did not. In fact it never is incremented at all.
    Does anybody know in what conditions this counter is incremented ?
    ----------- UBB --------
    *SERVERS
    DEFAULT:
    CLOPT="-A --"
    MAXGEN=3 GRACE=5 RESTART=Y
    MIN=1 MAX=5
    TMUSREVT SRVGRP=SYS SRVID=100
    SEQUENCE=1
    FLOGSERV SRVGRP=LOG1 SRVID=10
    SEQUENCE=10
    CLOPT="-A -- -f /tmp/message.out -l 100"
    MAX=1
    ------- UBB ----------
    ------- CMD ----------
    {abc]$ kill -HUP <serv-pid>
    ------- CMD ----------

  • Design a 32bit counter using HDL Interface

    Hi,
    I am new to Labview FPGA, and i am trying to explore the HDL interface node in Labview,
    i could sucessfully implement an adder and MAC using the same interface,
    and tested the same using a File IO.
    But the problem araised when i tried implementing a counter code using the HDL Interface,
    the values i observed in the display panel and in the File output are not producing any count.,
    is there any care to be taken for implementing the above, the same VHDL code is tested for
    producing the count using the simulator.Below is the code which i tried to implement
    process( clk, reset )
      begin
        if( reset = '1' ) then
           debug_out <= (others=>'0');       
             debug_cnt <= (others=>'0');       
        enable_out <= '0';
        elsif rising_edge(clk) then
             if (ErrorIn(0) = '0') then
                  debug_out <= debug_cnt;
                   if(debug_cnt = X"000000FF") then
                            debug_cnt <= (others=>'0');
                   else
                           debug_cnt <= debug_cnt + X"00000001";
               end if;           
             else
                    debug_cnt <= (others=>'1');       
             end if;
          if( enable_clr = '1' ) then
            enable_out <= '0';
          elsif( enable_in = '1' ) then
            enable_out <= '1';
          end if;
    end if;
    end process;

    Hi Ipshita,
    Thanks a lot for your reply,
    1. By 'display panel' do you mean front panel?
           Yes! i mean the Display panel means the Numaric Indicator in the front panel.
    2. Are you sending this counter data to a file and if yes, what kind?
         Yes! am sending the output data to a file, am wiriting the data to a binary file.
    3. By "it works with the simulator" do you mean that with an actual
    FPGA card it is producing no data in the front panel and file?     Yes! it works well when i checked with my modelsim simulator, but when using the Labview FPGA  i could not get proper output.
    Anyways am attaching the VI project, please go through and let me know,if some problem is there with the design
    Thanks & Regards
    KalyanSuman.KV
    Attachments:
    RegDelay.zip ‏123 KB

  • Counter increment using XSLT

    Hello Guys,
    I am finding it difficult to increase my counter in XSLT program.
    The counter is being calculated inside the template POHeader inside the PART Node set.I would like to increase this counter for
    each every haeder as expained in the example.
    Can someone suggest how to increase my counter?
    For Eg :
    Expected output:
    850HD20102010122100000001 0095AAF52C, , * // 00001  before 009
    850H1000115 1012210095A AS
    850H4
    850H7
    850N1 0095A
    850N2AFL HUNGARY D02RG
    850D1 00000100000000015EA 96FG 10374 AA
    850D6Seal
    850HD20102010122100000002 0095AAF52C, , * // 00002 
    850H1000115 1012210095A SS
    850H4
    850H7
    850N1 0095A
    850N2VALEO AUTOSYSTEMY SP.Z.O.O. EBECA
    850D1 00000100000001202EA DSFSDF SDF
    850D6WIRE ASSY
    850D1 00000200000002118EA TEST
    850D6ARM-WIPER
    850HD20102010122100000003  0160AAF52C, , * // 00003
    850H1000115 1012210160A SS
    850H4
    850H7
    850N1 0160A
    850N2VALEO AUTOSYSTEMY SP.Z.O.O. EBECA
    850D1 00000100000000016EA V97FB 17526 BD
    850D6Arm Assy - Wiper
    But i am getting it as :
    850HD201020101221000000010095AAF52C, , *
    850H1000115 1012210095A AS
    850H4
    850H7
    850N1 0095A
    850N2AFL HUNGARY D02RG
    850D1 00000100000000015EA 96FG 10374 AA
    850D6Seal
    850HD201020101221000000010095AAF52C, , *
    850H1000115 1012210095A SS
    850H4
    850H7
    850N1 0095A
    850N2VALEO AUTOSYSTEMY SP.Z.O.O. EBECA
    850D1 00000100000001202EA DSFSDF SDF
    850D6WIRE ASSY
    850D1 00000200000002118EA TEST
    850D6ARM-WIPER
    850HD201020101221000000010160AAF52C, , *
    850H1000115 1012210160A SS
    850H4
    850H7
    850N1 0160A
    850N2VALEO AUTOSYSTEMY SP.Z.O.O. EBECA
    850D1 00000100000000016EA V97FB 17526 BD
    850D6Arm Assy - Wiper
    The below is the XSLTcode:
    Code:
    <?xml version="1.0" encoding="utf-8"?>
    <!--Correction V2.0  23.11.2010 -->
    <!-- POtype added-->
    <!DOCTYPE xsl:stylesheet [
    <!ENTITY linefeed "<xsl:text>
    </xsl:text>">
    <!ENTITY tab "<xsl:text>     </xsl:text>">
    <!ENTITY space "<xsl:text> </xsl:text>">
    <!ENTITY space5 "<xsl:text>     </xsl:text>">
    <!ENTITY space10 "<xsl:text>          </xsl:text>">
    ]>
    <xsl:stylesheet version="1.0" xmlns:xsl="http://www.w3.org/1999/XSL/Transform" xmlns:fo="http://www.w3.org/1999/XSL/Format" xmlns:n0="urn:-com:fcsd:btr:eu:sapscm:schedAgrRels">
        <xsl:output method="text"/>
        <xsl:param name="inputparam"/>
        <xsl:template match="n0:COMMONFORMAT_MT">   
               <xsl:variable name="SHIPFROM" select="//Entity/ShipFrom"/>
                   <xsl:for-each select="//Entity">
                        <xsl:for-each select="Part">
                                      <xsl:if test="SupplierCode = 'Y'">
                        <xsl:call-template name="RoutingHeader"/>
                        <xsl:call-template name="POHeader"/>
                        <xsl:call-template name="PODetails"/>
                                       </xsl:if>
                                <xsl:apply-templates select="."/>
                        </xsl:for-each>
                    </xsl:for-each>
        </xsl:template>
    <!-- Stock Order Header Record-->
    <xsl:template name="RoutingHeader">
            <xsl:variable name="SHIPFROM" select="../ShipFrom"/>
            <xsl:variable name="SHIPTO" select="../ShipTo"/>
            <!-- HD Primary Routing Record-->
            <xsl:text>850HD2010</xsl:text>
            <xsl:value-of select="/n0:COMMONFORMAT_MT/Header/CreationYear"/>
            <xsl:value-of select="format-number(/n0:COMMONFORMAT_MT/Header/CreationMonth,'00')"/>
            <xsl:value-of select="format-number(/n0:COMMONFORMAT_MT/Header/CreationDay,'00')"/>   
            <xsl:text>0000</xsl:text> <!-- TIME ZERO-->
                  <xsl:value-of select="format-number(position(),'0000')"/>
            <xsl:value-of select="substring($SHIPTO,1,5)"/>
            <xsl:text>AF52C</xsl:text>
            <xsl:text>,</xsl:text>
            &space5;
            <xsl:text>,</xsl:text>
            &space5;
            <xsl:text>*</xsl:text>
            &linefeed;
    </xsl:template>
    <xsl:template name="PODetails">
    <xsl:variable name="SHIPFROM" select="../ShipFrom"/>
    <xsl:variable name="SHIPTO" select="../ShipTo"/>
    <!-- H4 Contacts-->
        <xsl:text>850H4</xsl:text>
        &linefeed;
    <!-- H7 FoB Other Items-->
        <xsl:text>850H7</xsl:text>
        &linefeed;
    <!-- N1 Buying name address-->
        <xsl:text>850N1</xsl:text>
        <xsl:value-of select="substring(../ShipToName,1,30)"/>
         <xsl:variable name="LEN1" select="string-length(../ShipToName)"/>
        <xsl:value-of select="substring('                              ',1,30-$LEN1)"/>
        <xsl:value-of select="substring($SHIPTO,1,5)"/>
        &linefeed;
    <!-- N2 Supplier Name address-->
        <xsl:text>850N2</xsl:text>
        <xsl:value-of select="substring(../ShipFromName,1,30)"/>
        <xsl:variable name="LEN2" select="string-length(../ShipFromName)"/>
        <xsl:value-of select="substring('                              ',1,30-$LEN2)"/>
        <xsl:value-of select="substring($SHIPFROM,1,5)"/>
       &linefeed;
    <!-- N3 Ship-To addresses-->
        <xsl:choose>
            <xsl:when test="$SHIPFROM = 'AF52M'">
                <xsl:text>850N3                              59791</xsl:text>
               &linefeed;
            </xsl:when>
        </xsl:choose>
        </xsl:template>
    <xsl:template name="POHeader">
            <xsl:variable name="SHIPFROM" select="../ShipFrom"/>
            <xsl:variable name="SHIPTO" select="../ShipTo"/>
            <xsl:variable name="POTYPE" select="POType"/>   
    <!Primary Contorl Record>
            <xsl:text>850H1</xsl:text>
            <xsl:variable name="PONR" select="../ReleaseNumberPrimary"/>
            <xsl:variable name="LEN" select="string-length($PONR)"/>
            <xsl:value-of select="$PONR"/>
            <xsl:value-of select="substring('                  ',1,18-$LEN)"/>
            &space10;&space5;&space;&space;&space;
            <xsl:value-of select="substring(/n0:COMMONFORMAT_MT/Header/CreationYear,3,2)"/>
            <xsl:value-of select="format-number(/n0:COMMONFORMAT_MT/Header/CreationMonth,'00')"/>
            <xsl:value-of select="format-number(/n0:COMMONFORMAT_MT/Header/CreationDay,'00')"/>       
            <xsl:value-of select="$SHIPTO"/>
            &space10;&space10;&space10;&space5;
    <!<xsl:text>SS</xsl:text>>
            <xsl:value-of select="$POTYPE"/>
            &linefeed;
    </xsl:template>
        <xsl:template match="Part">
        <!-- D1 Part Record-->
                <xsl:text>850D1</xsl:text>
                &space5;&space;
                <xsl:variable name="POS" select="position()"/>
                <xsl:value-of select="format-number(count(preceding-sibling::Part) + 1,'000000')"/>
                <xsl:variable name="QTY" select="ScheduleLines[1]/Quantity"/>
                <xsl:value-of select="format-number($QTY,'00000000000')"/>
                <xsl:text>EA</xsl:text>
                &space10;&space5;&space;
                <xsl:call-template name="FormatPartNumber"/>
                <xsl:if test="string-length(VendorPart/VendorPartID) > 0">
                        &space10;&space10;&space10;
                        <xsl:value-of select="VendorPart/VendorPartID"/>
                </xsl:if>
                &linefeed;
      <!-- D6 Part Description -->
                <xsl:text>850D6</xsl:text>
                <xsl:value-of select="substring(Description,1,80)"/>
                &linefeed;
        </xsl:template>
    <!Formatting PartNumber>
        <xsl:template name="FormatPartNumber">
            <xsl:if test="../ShipFrom = 'FI05M'">
                <xsl:variable name="T1" select="substring-before(EPNCode,' ')"/>
                <xsl:variable name="T2T"  select="substring-after(EPNCode,' ')"/>
                <xsl:variable name="T2" select="substring-before($T2T,' ')"/>
                <xsl:variable name="T3" select="substring-after($T2T,' ')"/>
                <xsl:if test="$T3 != ''">
                    <xsl:choose>
                        <xsl:when test="$T3 != '-'">
                           <xsl:variable name="PN" select="concat($T1,'/',$T2,'/',substring($T3,1,2),'/',substring($T3,3))"/>
                        </xsl:when>
                        <xsl:otherwise>
                           <xsl:variable name="PN" select="concat($T1,'/',$T2,'/','/')"/>   
                        </xsl:otherwise>
                    </xsl:choose>
                           <xsl:variable name="LEN" select="string-length($PN)"/>
                           <xsl:value-of select="$PN"/>
                            <xsl:value-of select="substring('                              ',1,30-$LEN)"/>
                </xsl:if>
                <xsl:if test="$T3= ''">
                    <xsl:choose>
                        <xsl:when test="$T2T != '-'">                                            <xsl:variable name="PN" select="concat(substring($T1,1,1),'/',substring($T1,2),'/',substring($T2T,1,2),'/',substring
    ($T2T,3))"/>
                        </xsl:when>
                        <xsl:otherwise>
                        <xsl:variable name="PN" select="concat(substring($T1,1,1),'/',substring($T1,2),'/','/')"/>   
                        </xsl:otherwise>
                    </xsl:choose>
                         <xsl:variable name="LEN" select="string-length($PN)"/>
                          <xsl:value-of select="$PN"/>
                           <xsl:value-of select="substring('                              ',1,30-$LEN)"/>
                          </xsl:if>
            </xsl:if>
            <xsl:if test="../ShipFrom != 'FI05M'">
                <xsl:value-of select="substring(concat(EPNCode,'                              '),1,30)"/>
            </xsl:if>
        </xsl:template>
    </xsl:stylesheet>
    My input XML data :
    <?xml version="1.0" encoding="utf-8"?>
    <n0:COMMONFORMAT_MT xmlns:n0="urn:nord-com:fcsd:btr:eu:sapscm:schedAgrRels" xmlns:prx="urn:sap.com:proxy:A8D:/1SAI/TAS00000000000000000025:700:2009/02/10">
    <Header>
    <EDIFormat>CIF850</EDIFormat>
    <CreationYear>2010</CreationYear>
    <CreationMonth>12</CreationMonth>
    <CreationDay>21</CreationDay>
    </Header>
    <Details>
    <Entity>
    <ShipFrom>D02RG</ShipFrom>
    <ShipTo>0095A</ShipTo>
    <BillTo>0095A</BillTo>
    <ReleaseNumberPrimary>000115</ReleaseNumberPrimary>
    <ShipToInternal>PLDE11</ShipToInternal>
    <ShipToName>nord-Werke GmbH</ShipToName>
    <ShipFromName>AFL HUNGARY</ShipFromName>
    <Part>
    <FinisCode>TST_1004285</FinisCode>
    <EPNCode> 96FG 10374 AA </EPNCode>
    <SupplierCode>Y</SupplierCode>
    <Description>Seal</Description>
    <APNType>EN</APNType>
    <CumQuanRec>60.000 </CumQuanRec>
    <PurchasingUOM>EA</PurchasingUOM>
    <PartHorizons>
    <ProductGoAheadQty>0 </ProductGoAheadQty>
    <MaterialGoAheadQty>0 </MaterialGoAheadQty>
    <MaterialEndDate>101220</MaterialEndDate>
    <FabEndDate>101220</FabEndDate>
    <LastASNNo>KRISHNA</LastASNNo>
    <LastASNDate>100929</LastASNDate>
    <LastASNQty>20 </LastASNQty>
    <LastASNCumQty>0 </LastASNCumQty>
    </PartHorizons>
    <PurchasingInformation>
    <ReleaseID>JGxc9pCi0QhX08004sv34m</ReleaseID>
    <PurchasingNumber>5500000039</PurchasingNumber>
    <ReleaseNumber>0000018</ReleaseNumber>
    <ReleaseIssueDate>101220</ReleaseIssueDate>
    <HorizonEndDate>111220</HorizonEndDate>
    <ReconciliationDate>100101</ReconciliationDate>
    <BookNumber>180</BookNumber>
    </PurchasingInformation>
    <ScheduleLines>
    <Quantity>15 </Quantity>
    <Date>101220</Date>
    <Time>0007</Time>
    <TransportMethod>0001</TransportMethod>
    </ScheduleLines>
    <ShipCode>XX</ShipCode>
    <POType>AS</POType>
    </Part>
    </Entity>
    </Details>
    <Details>
    <Entity>
    <ShipFrom>EBECA</ShipFrom>
    <ShipTo>0095A</ShipTo>
    <BillTo>0095A</BillTo>
    <ReceivingDock>12</ReceivingDock>
    <ReleaseNumberPrimary>000115</ReleaseNumberPrimary>
    <ShipToInternal>PLDE11</ShipToInternal>
    <ShipToName>nord-Werke GmbH</ShipToName>
    <ShipFromName>VALEO AUTOSYSTEMY SP.Z.O.O.</ShipFromName>
    <Part>
    <FinisCode>1007257</FinisCode>
    <EPNCode>DSFSDF SDF </EPNCode>
    <SupplierCode>Y</SupplierCode>
    <Description>WIRE ASSY</Description>
    <APNType>EN</APNType>
    <CumQuanRec>0.000 </CumQuanRec>
    <PurchasingUOM>EA</PurchasingUOM>
    <PartHorizons>
    <ProductGoAheadQty>0 </ProductGoAheadQty>
    <MaterialGoAheadQty>0 </MaterialGoAheadQty>
    <MaterialEndDate>101221</MaterialEndDate>
    <FabEndDate>101221</FabEndDate>
    <LastASNNo>00000000000</LastASNNo>
    <LastASNDate>000000</LastASNDate>
    <LastASNQty>0 </LastASNQty>
    <LastASNCumQty>0 </LastASNCumQty>
    </PartHorizons>
    <PurchasingInformation>
    <ReleaseID>JGyw4Tf30QhX08004sv34m</ReleaseID>
    <PurchasingNumber>5500023416</PurchasingNumber>
    <ReleaseNumber>0000002</ReleaseNumber>
    <ReleaseIssueDate>101221</ReleaseIssueDate>
    <HorizonEndDate>111221</HorizonEndDate>
    <ReconciliationDate>091005</ReconciliationDate>
    <BookNumber>730</BookNumber>
    </PurchasingInformation>
    <ScheduleLines>
    <Quantity>1202 </Quantity>
    <Date>101220</Date>
    <Time>0007</Time>
    </ScheduleLines>
    <ShipCode>11</ShipCode>
    <POType>SS</POType>
    </Part>
    <Part>
    <FinisCode>1008781</FinisCode>
    <EPNCode> TEST </EPNCode>
    <Description>ARM-WIPER</Description>
    <APNType>EN</APNType>
    <CumQuanRec>0.000 </CumQuanRec>
    <PurchasingUOM>EA</PurchasingUOM>
    <PartHorizons>
    <ProductGoAheadQty>0 </ProductGoAheadQty>
    <MaterialGoAheadQty>0 </MaterialGoAheadQty>
    <MaterialEndDate>101217</MaterialEndDate>
    <FabEndDate>101217</FabEndDate>
    <LastASNNo>00000000000</LastASNNo>
    <LastASNDate>000000</LastASNDate>
    <LastASNQty>0 </LastASNQty>
    <LastASNCumQty>0 </LastASNCumQty>
    </PartHorizons>
    <PurchasingInformation>
    <ReleaseID>JGkW33ND0PxX08004sv34m</ReleaseID>
    <PurchasingNumber>5500023075</PurchasingNumber>
    <ReleaseNumber>0000001</ReleaseNumber>
    <ReleaseIssueDate>101217</ReleaseIssueDate>
    <HorizonEndDate>111217</HorizonEndDate>
    <ReconciliationDate>100101</ReconciliationDate>
    <BookNumber>180</BookNumber>
    </PurchasingInformation>
    <ScheduleLines>
    <Quantity>2118 </Quantity>
    <Date>101213</Date>
    <Time>0007</Time>
    </ScheduleLines>
    <ShipCode>XX</ShipCode>
    <POType>SS</POType>
    </Part>
    </Entity>
    </Details>
    <Details>
    <Part>
    <FinisCode>1049328</FinisCode>
    <EPNCode>V97FB 17526 BD</EPNCode>
    <SupplierCode>Y</SupplierCode>
    <Description>Arm Assy - Wiper</Description>
    <APNType>EN</APNType>
    <CumQuanRec>11183.000 </CumQuanRec>
    <PurchasingUOM>EA</PurchasingUOM>
    <PartHorizons>
    <ProductGoAheadQty>0 </ProductGoAheadQty>
    <MaterialGoAheadQty>0 </MaterialGoAheadQty>
    <MaterialEndDate>110201</MaterialEndDate>
    <FabEndDate>110102</FabEndDate>
    <LastASNNo>ADRIAN 17.1</LastASNNo>
    <LastASNDate>091117</LastASNDate>
    <LastASNQty>133 </LastASNQty>
    <LastASNCumQty>0 </LastASNCumQty>
    </PartHorizons>
    <PurchasingInformation>
    </PurchasingInformation>
    <ScheduleLines>
    <Quantity>16 </Quantity>
    <Date>101129</Date>
    <Time>0007</Time>
    </ScheduleLines>
    <ShipCode>XX</ShipCode>
    <POType>SS</POType>
    </Part>
    </Entity>
    </Details>
    </n0:COMMONFORMAT_MT>

    Don't see an attachment.
    You could use a shift register to store an array.
    Each button would map to an array index. For each button pushed you would index the array, +1 the value, replace the array element and pass back out to the shift register.
    Now is the right time to use %^<%Y-%m-%dT%H:%M:%S%3uZ>T
    If you don't hate time zones, you're not a real programmer.
    "You are what you don't automate"
    Inplaceness is synonymous with insidiousness

  • Incremental Backups Interface Problem???

    Maybe it's just me, but doing a simple task like backing up (full / incremental) should be very simple, and I'm having a problem. I should be able to select a backup location (a preference), do a Full backup, then do an Incremental...as many as I want...and at some time I may want to do a Full backup again. But it seems it's much more complex than that...
    Here's what I'm doing (obviously wrong):
    I've done a Full backup on Drive D in some directory, say 'My Backup'. Fine, I think I have a Full backup.
    Now later, I want to do an incremental, and I'm prompted to select a destination Drive (it's D), and then "chose browse to load your previous backup file."
    OK, I browse to my D | My Backup directory (that's the previous and ONLY backup location), but I'm warned that a backup file already exists, and do I want to overwrite it. Huh???
    An Incremental doesn't overwrite a Full Backup. What am I supposed to Browse to?
    And what does "Load previous backup file to determine size" have anything to do with a simple need to create an incremental backup file?
    Help hasn't helped. I'm missing something.
    I'd really appreciate if anyone can tell me what I'm doing wrong. I obviously don't want to overwrite a Full backup with an Incremental.

    See excellent answer in Technical side of the forum
    Michael Sobieski, "Problem with Full - Incremental Backup in 5.0" #1, 19 Oct 2006 8:21 am

  • Automatic clearence of interface counter

    As we all know #clear counters interface -  command will clear interface stats. Now if the counter automatically clears & interface stats reset after few seconds then how can we solve the problem.
    As per my scenario - Everytime I run #show interface f0/0/12 - command on my switch the stats reset all information. e.g. packet input output, broadcast everything. I never seen these with a increment value. Now what causes the interface counter to reset ????????
    There are no duplex, speed mismatch. No Qos applied. This is a trunk port. Operation is normal though & other ports are showing correct info for all counter. Please suggest what should I check ?    

    Disclaimer
    The Author of this posting offers the information contained within this posting without consideration and with the reader's understanding that there's no implied or expressed suitability or fitness for any purpose. Information provided is for informational purposes only and should not be construed as rendering professional advice of any kind. Usage of this posting's information is solely at reader's own risk.
    Liability Disclaimer
    In no event shall Author be liable for any damages whatsoever (including, without limitation, damages for loss of use, data or profit) arising out of the use or inability to use the posting's information even if Author has been advised of the possibility of such damage.
    Posting
    Assuming you're not looking at down ports, it could be a bug.
    What's the device and its IOS image?

  • MAPPING: Increment counter while creating destination structures

    Hello,
    i have the following source and destination structure:
    <src_struct> (0-n)
        <qualifier>
        <value>
    </src_struct>
    <dest_struct> (0-n)
        <counter>
        <qualifier>
        <value>
    </src_struct>
    only those dest structures have to be created where <qualifier="XX">.
    Thus my mapping on structure level looks like:
    if <qualifier>  equalS "XX" createIf --> <dest_struct>
    This works fine.
    But additionally i need to increment <counter> in the dest_struct. I.e., when i have 10 src_struct where 5 of them has <qualifier="XX"> i need 5 dest_struct with counter 1 to 5.
    I tried this with a UDF which has just a constant as input:
    "MY_COUNTER"  --> UDF:getNextCounter --> <counter>
    This argument is the name under which the last counter was saved in the global container. My expectation was that for each time the field <counter> will be created, my UDF reads the las counter, increments it, saves it back to the container and returns the result.
    but the bahavior is different:
    For example:
    if src_structures 6-10 have <qualifier>="XX" my UFD returns 6-10 in sequnce instead
    of 1-5. The shows me, that my UDF runs 10 times even though just 5 dest_struct are created.
    What do i wrong?
    Her my UDF:
    GlobalContainer gc  = container.getGlobalContainer();
    String counter = new String();
    counter  = (String)  gc.getParameter(MY_COUNTER);
    if(counter==null) {
         counter = "1";
         gc.setParameter(MY_COUNTER,counter);
         return(counter);
    Integer i_counter = new Integer(counter);
    int i = i_counter.intValue() + 1;
    Integer I = new Integer(i);
    counter = I.toString();
    gc.setParameter(ID_TYPE,counter);
    return(counter);

    Hi,
    Why dont you take qualifier as another argument (say b) for the same UDF.
    so that you can check the value of the qualifier and run the logic as you needed.
    as below,
    if (b.equals("XX"))
    GlobalContainer gc = container.getGlobalContainer();
    String counter = new String();
    counter = (String) gc.getParameter(MY_COUNTER);
    if(counter==null) {
    counter = "1";
    gc.setParameter(MY_COUNTER,counter);
    return(counter);
    Integer i_counter = new Integer(counter);
    int i = i_counter.intValue() + 1;
    Integer I = new Integer(i);
    counter = I.toString();
    gc.setParameter(ID_TYPE,counter);
    return(counter);
    Let me know if its not working.
    Hope this helps.
    Prasad Babu.

  • Get-NetIPConfiguration increments $Error count without posting error

    Hi All:
    I observed that the Get-NetIPConfiguration cmdlet increments the $Error count even when successful without posting an error.
    Problem Description
    I'm building an application that embeds the Get-NetIPConfiguration cmdlet within a scriptmethod of a psobject. Although NetIPConfiguration appears to work just fine, it increments the error count. Here's a sample error message for the $Error variable:
    Get-NetRoute : No matching MSFT_NetRoute objects found by CIM query for instances of the ROOT/StandardCimv2/MSFT_NetRoute class on the  CIM server: SELECT *
    FROM MSFT_NetRoute  WHERE ((DestinationPrefix LIKE '::/0')) AND ((InterfaceAlias LIKE 'Ethernet')). Verify query parameters and retry.
    At C:\windows\system32\windowspowershell\v1.0\Modules\NetTCPIP\NetIPConfiguration.psm1:207 char:44
    +             $IPConfig.IPv6DefaultGateway = Get-NetRoute -DestinationPrefix "::/0 ...
    + ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
        + CategoryInfo          : ObjectNotFound: (MSFT_NetRoute:String) [Get-NetRoute], CimJobException
        + FullyQualifiedErrorId : CmdletizationQuery_NotFound,Get-NetRoute
    This problem can be replicated on the command line using the following steps:
    PS C:\WINDOWS\system32> $Error.Count
    0
    PS C:\WINDOWS\system32> Get-NetAdapter Ethernet | Get-NetIPConfiguration
    InterfaceAlias       : Ethernet
    InterfaceIndex       : 4
    InterfaceDescription : Broadcom NetLink (TM) Gigabit Ethernet
    NetProfile.Name      : POLLUX
    IPv6Address          : 2001:db8:1::1005
    IPv4Address          : 192.168.1.4
    IPv6DefaultGateway   :
    IPv4DefaultGateway   : 192.168.1.1
    DNSServer            : 68.115.71.53
                           68.113.206.10
                           66.189.0.100
    PS C:\WINDOWS\system32> $Error.Count
    1
    Notice the error count increments, but no error is displayed. Here's the value of $Error
    PS C:\WINDOWS\system32> $Error
    Get-NetRoute : No matching MSFT_NetRoute objects found by CIM query for instances of the
    ROOT/StandardCimv2/MSFT_NetRoute class on the  CIM server: SELECT * FROM MSFT_NetRoute  WHERE ((DestinationPrefix LIKE
    '::/0')) AND ((InterfaceAlias LIKE 'Ethernet')). Verify query parameters and retry.
    At C:\windows\system32\windowspowershell\v1.0\Modules\NetTCPIP\NetIPConfiguration.psm1:207 char:44
    +             $IPConfig.IPv6DefaultGateway = Get-NetRoute -DestinationPrefix "::/0 ...
    + ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~
        + CategoryInfo          : ObjectNotFound: (MSFT_NetRoute:String) [Get-NetRoute], CimJobException
        + FullyQualifiedErrorId : CmdletizationQuery_NotFound,Get-NetRoute
    This behavior occurs when run on the local host. When the Get-NetIPConfiguration command is wrapped in an Invoke-Command and issued to a remote server (Hyper-V Server R2), no errors are reported. If the command is issued locally on the same
    server, the error count increments. Anyway, if someone would be willing to confirm this behavior and/or submit a problem report to encourage a fix, that would be great. Thanks in advance!
    Have a GREAT DAY!!
    Shaun

    Hi,
    I tried that on my computer, and even got no error when running get-netroute, after run Get-NetIPConfiguration, it incresed the error count to 1. And the $error was same as yours.
    I would like to suggest you also post the issue into the following link:
    https://connect.microsoft.com/PowerShell
    Regards,
    Yan Li
    Regards, Yan Li

  • Play count won´t increment

    Hi!
    I did expect both play count and date to be updated in iTunes when I connect my blue iPod nano 4GB to iTunes, but nothing happens.
    Can I make the play count increment just as when I use my iPod shuffle 512 MB 1st gen?
    Anyone familiar with this?
    Please assist!

    Here's what I do -- create a playlist on your computer, and set the ipod to auto sync that playlist. Ratings, play dates, and other stuff will sync back.
    To take it to the next level, use smart playlists with filesize caps, such as:
    "Fresh Hits": Rating greater than 4*, last played is not in the last 2 weeks, limit to 1 GB (instead of rating you can use "play count is greater than 4 or 5, or something)
    "New stuff": Date added is in the last 2 months, limit to 500 MB
    ... et cetera. I have 2.5 GB of smart playlists, and the rest is in the manually created playlist for stuff I just want to have with me. It keeps your content fresh without too much work.

  • 11.0.2 does not increment play count

    I have smart playlists that are based on play count.  Sometimes as iTunes plays the count increments and sometimes it doesn't.  When it does, it replaces the tune it was just playing insteads of adding a new tune to the bottom of the list.  When it doesn't iTunes moves down the list.  Eventually it gets to the bottom and stops.  If the count criteria is < 1, it should increment the count, remove the tune from the list and add another at the bottom but it doesn't.
    I have cross-fade turned off.  I understand this used to be a problem that was fixed.  However, I left it off anyhow.
    What else do I need to check/correct/do?

    I made some screen shots to demonstrate.  First is the initial list when I started to play it followed by the list when the last track had completed.  This demonstates how some tracks get their count incremented and are replaced while others do not.
    BTW, it does appear that the list is updated in place rather than at the end.  I was looking for a list that would never stop playing but I guess that is impossible.  No matter, I can make it long enough to work but I can't figure out why sometimes the count gets incremented and sometimes it doesn't

  • 6014 board problems with counter 0

    Hi all,
    I'm working on a project with a 6014 board and Real-Time Windows Target of Matlab. I need to interface the signals from two incremental encoders. The qudrature signals are converted into a direction signal and a pulse train signal with the idea to feed them to the counters of the board, configured as bidirectional counters in up/down mode. The connections are as follows:
    For Counter 0 - the pulse train signal is fed to PFI8, and the direction signal to DIO6.
    For Counter 1 - pulse train signal to PFI3, direction signal to DIO7.
    The problem is that Counter 1 is working properly, while with the same signals fed to Counter 0 what I read makes no sense. The counter increments by very large values at once and behaves erraticaly.
    I'm seeing this behavior on two different boards.
    Any help please!
    Thanks in advance!
    Stanislav

    Hi Stanislav,
    My recommendation will be to install Signal express (it is a free application that you can use to generate or aquire data) avaialble at the following location https://lumen.ni.com/nicif/us/evalsignalexpress/content.xhtml
    and DAQmx driver available at the following location http://joule.ni.com/nidu/cds/view/p/id/3423/lang/ro
    After you install the two software mentioned above, launch Signal Express and it should be straight forward how to program because it is a configuration based programming. You can use the menu to generate a train of pulses on counter 1, also you may use an oscilloscope to validate the generation part and than use your software to acquire on CTR0. If you still have the problem to acquire on CTR0, you can reverse it and use Signal Express in the acquisitioin part.
    Best regards,
    I.R.

  • ACE 30, dropped conns counter incorrect number

    We have host in our network which tests reachability of ACE's VIP address at regular intervals. The test sequence consists of 4 TCP packets (SYN, SYN-ACK, FIN-ACK, RST-ACK; see picture attached) and causes incrementation of "dropped conns" counter in show service-policy output.
    ACE30# sh service-policy XYZ detail | inc drop
            dropped conns    : 266812
            conn-rate-limit      : 0         , drop-count : 0
            bandwidth-rate-limit : 0         , drop-count : 0
                         dropped conns: 238177
                dropped conns    : 7
    ACE30# sh service-policy XYZ detail | inc drop
            dropped conns    : 266813
            conn-rate-limit      : 0         , drop-count : 0
            bandwidth-rate-limit : 0         , drop-count : 0
                         dropped conns: 238178
                dropped conns    : 7
    Is this normal behavior of ACE? Is there a way how to get rid of the dropped cons counter incrementation.
    Petr

    Hi Kanwal,
    When I set "no normalization" problem is solved. Disadvantage of this appoach is that by this command all trafic on interface is affected.
    I've also tried to tune  timeout for embrionic connection.
    When I had set it to 0, dropped conns counter stopped to increase. Client which sends those "SYN,FIN" packets ends communication after 30 seconds using RST. This cause that connection ends and dropped conns counter does not increase.
    Unfortunately for some reason sometimes happens that client doesn't send this final RST packet. This cause that number of active connection increases ...
    ACE30-hto2/TEST-WEBAPP# sh service-policy XYZ | inc conn
            curr conns       : 9         , hit count        : 2279841   
            dropped conns    : 385467    
            conns per second    : 0         
            conn-rate-limit      : -         , drop-count : -         
    ACE30-hto2/TEST-WEBAPP# sh service-policy XYZ | inc conn
            curr conns       : 22        , hit count        : 2283653   
            dropped conns    : 385467    
            conns per second    : 0         
            conn-rate-limit      : -         , drop-count : -
    When I set timeout to 120, those "non RST" connections are cleared but of course dropped conns counter increases ...
    I guess I will try to reconfigure the probe.
    Kanwal, thanks for your suggestions!
    Kind regards
    Petr

Maybe you are looking for

  • TS2326 iMessages across multiple iPhones / iPods appear to come from the wrong person

    We have three different devices using iMessage - 2 iPhones and an iPod Touch.  This issue is difficult to explain but from time to time when sending iMessage from one of these devices the sent message appears to come from the wrong sender.  For examp

  • Does MAS show updates if apps are not installed ?

    I got several apps from the Mac App Store but deleted some of them. In fact I deleted all traces of these apps even .bom and .plist files in "receipts". Now updates of not installed apps are not shown in the App Store application. Is this due to the

  • Variations issues with User & Group Site Column value

    Hi all, I have created variation sites. e.g. http://mydomain/en-us for english & http://mydomain/de-de for german language. I have created custom page layouts. This page layouts are based on custom content types. I have created a column called "User"

  • For all antries

    hi frds plz give me response About for all entries & inner joins to mail id <REMOVED BY MODERATOR> Edited by: Alvaro Tejada Galindo on Feb 27, 2008 5:27 PM

  • Configuration Items and 64 Bit Operating Systems

    I have created a few CI's that check for a value in the registry. The key I'm using in the setting is the 32 bit HKLM\Software key. I have checked the box "This registry value is associated with a 64-bit application". What I'm looking for is a 32-bit