C300 MXF import fails across CS6

I am having trouble with footage shot with the Canon C300.
In Premiere, Prelude, & Media Encoder Ingest/Import fails with 'generic error' or 'unable to read xmp'
I can play the footage in Resolve 9, & in VLC.
I have tried transcoding it using Pavtube MXF Converter.
When transcoded to MPEG2 the ingest/import still fails.
When transcoded to WMV it can then be ingested/imported.
Has anyone else experienced this?
Anyone have a solution?
Thank you community :-)

Have you tried a re-install of CS6? Having to transcode files that should play natively is a heck of a problem. I would wipe the system drive and start agian. Contacting Adobe support is also on tap.
This should work; from CS6 white papers:
"Adobe Systems and Canon have collaborated to bring highly e&cient, #le- and tape-based work!ows
to Adobe Premiere Pro CS6 so"ware, giving users the ability to natively edit HD and SD footage shot
with Canon video cameras, including the Canon EOS C300 Digital Cinema camera, Canon XF305/300,
and Canon XF105/100, which record video on solid-state media using the powerful Canon XF
MPEG-2 codec with Full HD 1920x1080 resolution, 50Mbps bit rate, and 4:2:2 color sampling."

Similar Messages

  • C300 MXF Import : From Files on a Harddrive

    Hi,
    I have shot about 15 CF cards worth of footage with the Canon C300. That was before I had bought FCPX and was editing the footage with Adobe Premiere Pro 6 which can automatically recognize the MXF without transcoding or re-wrapping.
    Now that I have FCPX 10.0.6 I want to import the footage... but it won't recognize the files even though they are an exact copy of what was on the CF cards.
    I bought FCPX because it said  the latest update (10.0.6) was compatible with files MXF natively?
    Regardless.. I'm looking for a simple solution to import the files.. The only work-around I have figured out is to copy the files back to a CF card and then FCPX will allow me to import.
    But why is it dependent on me having the footage on a CF card instead of a harddrive even though the file structure is exactly the same??
    Seems unnecessarily specific.. Any thoughts? I don't feel like spending hours writing back media to cards and re-importing.
    Thanks

    I'll give that a try.. I've never created a DMG file using Disk Utility. How long would that take for 64GB's of footage?
    I guess it's a little discouraging that FCPX makes you jump through bizzare hoops to import footage that is considered native. I know the editing structure is based on Quicktime but why won't it allow me to wrap footage that is already downloaded to a drive? Seems pretty straight forward..
    Can anyone explain the thinking on a limitation like that?
    So the DMG work-around essentially duplicates the footage which the needs to be wrapped.. So 64GB's of footage becomes 128GB's with the new DMG file.. then I need to wrap that for a total of 192GB's. I might need to buy an new HD to complete the import of 960GB's of material with this method.

  • Is the prores file that FCPx creates when importing c300 .mxf files stable/quality enough to then copy to other drives for further use? Are there any quality disadvantages to doing that?

    Im wondering if I can directly copy the prores files fcpx creates when importing c300 .mxf files from the "original media" folder, reimport them for another project to and use them that way. Is there any problem with working that way? I'm doing this bc I want to have an organize finder structure for a client but cant break the c300 card structure to split clips on a card into different "scenes" to make it easier for them to understand what they're looking at. This is all to stay NLE nuetral--we like fcpx but they might want to edit somewhere else and more details in the finder level seems like the best solution? Suggestions on any of that? Thanks.

    Thanks for your response. Are you sure that FCPx is working with .mxf/c300 natively? I thought it was just a background sort of repackaging or transcode into prores that it does without you having a choice? And bc of that, for me, it's sort of a "back end" feeling file: I'm curious if it's bad to get into the package contents folder to copy it over? Not about copying prores in general-- specifically, is the prores created of c300 footage in fcpx more of a fcpx, high quality alias file? Maybe I'm just feeling a little uncomfortable grabbing things from the inside/finder level of fcpx.

  • Import Failed (Placing InDesign Files in InDesign CS6)

    I'm trying to place about 100 different ads in InDesign format into my InDesign CS6 document. The last couple years I've done this with CS4 without any issues. However, this year I'm using CS6. Now I'm running into the "Import Failed" error message after placing about 4-5 different files. The only way to fix it is to quit out of InDesign and relaunching it. When it relaunches, it actually automatically opens the document I had open before even though I had closed and saved it before quitting. So, to me, this seems like a glitch that's causing InDesign to think it crashed after quitting too. Has anyone else run into this? It is driving me absolutely insane.
    InDesign 8.0.1
    Mac OS X 10.7.5

    I figured it out. I just happened to think, "Hey, maybe it's Suitcase Fusion." Sure enough, as soon as I disable the plugin, everything is working fine. Go figure. I'll post something with them.

  • Native import of C300 MXF files?

    Hello,
    recently, I started using Speedgrade CC, which seems a great piece of software. Whatsoever I am working most of the time with the Canon C300 and I have problems importing the native C300 MXF files into Speedgrade. Basicaly, the MXF files don´t work in Speedgrade.
    Is there a solution with transcoding the material?
    Thanks!

    Hi,
    MXF format is not supported in SpeedGrade. You have to transcode the material (i.e. to a DPX sequence) to load it.
    Hope this helps.
    Thanks.
    Dennis

  • Problems reconnecting media with Canon C300 MXF files

    Working from Canon C300 MXF files imported into up to date premiere cc (not sure if through the media browser or through the import function). We have three stations working (one AE station and two edit bays) but only two stores of the media so we've been backing up the media onto a third drive so our other editor can work. No problems with the first editor station and AE station, they both have all the media. Our third station however...
    Basically the issue we're having is certain files won't reconnect to their MXFs, even though we've maintained the file structure and all associate canon files in their folders. We're getting diagonal shading across the clips in the timeline as well as the little triangle in the corner of the clip that signifies it's the end (and sometimes beginning) of the media. When we try to play those clips they are just a black screen. But when we try to match frame, it'll bring up the clip in the viewer and play fine. The media is not technically "offline," just not playing. When I try to reconnect the media I have to make it offline first and then reconnect but continue to have the same problem. It seems like an issue with merging the spanned clip across multiple .mxf files because there's no issue with clips that are not spanned. I tried isolating the individual clips from the .xml files that tell premiere to merge them and they reconnect fine up until the end of that file and then I've got diagonal lines for the rest of the clip again. I've tried everything I can think of and we're wasting so much money on this second editor not being able to work on anything because of this. Any insight would be most appreciated.

    Ah I see, I read through your link, I get that reverting could help except that all our other stations are running 7.2.2 so we'd have incongruity between stations. Whats strange is the other two stations are running fine, no problems. It's just with this other station that doesn't have all the media that's having the issue.

  • Keyword import fails on non-ascii character

      I recently tried to import a long set of keywords (about 4000 terms).  i set up the file in excel and then tried to import the records.  I kept getting this message:  
    only text files encoded with ascii or unicode UTF-8 are supported when importing keywords.
    I finally tracked down the problem when i converted the file to a MS word text file, broke it down into parts and eventually found the problem record.  for some reason, the apostrophe in the words "don't know" had been corrupted to a weird character.  after i corrected this, everything worked. 
    however, this took a long time.   It would have been helpful if  lightroom could have at least pinpointed the line where the import failed or offered to convert non-compliant charaters to some specific character or set of characters.

    Yeah, that didn't work so well since SuperDuper ran across repeated errors trying to do so; I suspect it's something to do with the drive. (SuperDuper complains about WD's MyBook, which is what the drive is.) Because SD stops the entire copy operation on single errors, it'd be a painstaking process.
    Besides that, I like doing fresh installs of all the bits.

  • Import failed error in NWDI  SAP_PSS

    Hi Experts,
    I have a problem in NWDI which is  I created once DC in SAP_PSS component and during the development we had a problem in desktop and lost complete data in local machine. By that time my activity is released but ! that results broken DC. 
    Now I am unable to transport this PSS component across the landscape and it is lieing in Consolidation area as import failed.
    My doubt is , If i create a same componet in NWDS under PSS with same activity name, Can i push it without errors ?
    Kindly help me to resolve this issue. The below is the log file shows
    20090607132128 Info   :Starting Step CBS-make at 2009-06-07 13:21:28.0252 +3:00
    20090607132128 Fatal  :Build processing for buildspace: NWD_TXSSSP18_C is not enabled. Cannot continue without NWDI administrator action
    20090607132128 Info   :Step CBS-make ended with result 'fatal error' ,stopping execution at 2009-06-07 13:21:28.0408 +3:00
    Regards,
    Jyothi.

    Hi Jyothi,
      Check the buildspace properties for 'NWD_TXSSSP18_C'  in CBS buildspace page(Go to NWDI main page http://<host>:<port>/devinf and click on Component Build Service). The buildspace in question should be Active, Input Mode is should be 'Open' and Request Processing Mode 'ON'. If these properties are not set properly, go to Edit and change these properties and start build process again.
    Regards,
    Bhagya

  • Import failed with canon 6d footage after latest update

    After I updated to Final Cut X 10.0.8, I've been getting "Import Failed" messages during the import process when taking in footage from my Canon 6D
    The import process also seems to be going very slow (slower than usual)
    I've tried duplicating the SD card locally on the hard drive and re-importing, but have gotten the same results.
    If I click OK on the error dialogue box, the import will continue. but another box will pop up every 5 to 10 minutes or so
    Any ideas?

    Hey Russ, it actually works fine for me too the few times I've used it but I point it out as a common denominator with people who have trouble importing that way. Separating the .mov files into their own folder eliminates the camera and card reader, both much slower than just transfering files from a connected drive. But I'm doing newspaper video with Canon DSLRs - including 5D3 video, similar to 6D - almost daily where speed is of the essence and this is by far the most foolproof method I've come across.

  • MXF import error in PPCS6

    Hi,
    I am currently tearing my hair out over an import issue in CS6. I'm trying to import a client's footage from an XDCamHD disc into Premiere, which as I understand it should be supported natively. However, everytime I try it refuses to import the video stream (the various audio files seem to import ok) giving me a 'generic error.'
    A few points:
    I am importing with the whole file structures available so all the various other associated files are there (PPI's, BIMs... or whatever else they're called...). A point to note here is that if I drag the whole folder into PP the usual message comes up about not supporting the file formats for all the rubbish files, but also includes the video stream mxf file.
    I've tried doing it through the media browser, dragging straight in from Explorer and via File>Import.
    As far as I can tell from the client it was recorded 1080p, 50Mbps which I can't see being a problem.
    Our system has the Matrox MXO2 thingy attached, if that makes a difference.
    Our main system is PC, but I've tried it on a Mac as well and got the same result...
    I think that's it.
    We used to use this footage all the time and had no problems, the only difference being that it was on CS5. So the upgrade is the only thing I can see causing a problem... but I can't work out why.
    Any help is GREATLY apprieciated (before this client tears out what little hair I have left...).
    Thanks!

    Hi,
    Here is a video that shows how to fix this issue:
    FIXED!!! The importer reported a generic error with MXF import - YouTube
    Hope this helps.

  • Actions from CS5 fail in CS6

    Using CS6 v13.0 x64 on a Win 7 ^4 bit PC with 12Gb RAM.
    I have actions that call for an image to be opened, selected, copied, the image closed and the "clipboard" image pasted into another, already open, image in PS.  This works without fail in CS5 but fails in CS6 - using the same 8 bit images.
    I either get the message "The paste command is not available" or "The object current layer is not available".
    Is there any rationale for this difference?  Is there a setting I need to change?  Am not aware of changing any preference, other than scratch disk, memory states, cursor view.
    Confused!
    Dave

    Noel the acknowledged CS6 bug is:
    Photoshop CS6: Actions do not work properly, while "Open Documents as Tabs" are not selected
    Open in Tabs bypasses the problem but I hate tabs Like to size and position the image windows where I want.
    Peter Green Employee of Photoshop Family  wrote:
    Hi Sergei,
    Thanks for the downloadable action. That helped us see where the problem lies. I've been able to reproduce the issue in CS6 in Windows (not on Mac), but not in CS5. Seems it's a new bug, I'll make sure it gets looked at.
    It looks like the "Paste" command is happening too fast, and before the first image really comes to focus to be able to paste in.
    As a workaround, here's what you can do.
    Record these steps in your action AFTER the Close command.
    Select the Close command, and click the "Record" button in Actions Panel.
    Click the Panel Flyout and choose "Playback Options"
    Click "Step by Step" and click OK
    Then click Stop recording
    THEN
    Select the Paste step, click Record again
    Click the Panel Flyout and choose "Playback Options"
    Click Accelerated, then click OK.
    This will cause Photoshop to slow down a bit between the close and paste command in order to wait for the image to become in focus.
    Hope this helps!
    Pete
      I do believe the bug is a window of opitunity bug but its not confined to only to Action that use paste.  I have many Actions and Script that fail using CS6 with commands other then paste.  What all the actions and scripts seem to have in common is they access image files during their processing.  They do not all fail the same way or any common command like paste.  May be a tread or processor problem when one thread need what the other thread is working on. What seems to be happening is that some command tries to execute before the document object update is ready so Photoshop has no document and any command that requires a document will fail. Like fit on screen, select a region etc. I have seen many commands fail my scripts use Paste into or Place but fail before then.  The scripts only fails using Photoshops CS6 32Bit version the windos seems closed in the 64Bit version. However there is also a bug in CS6 Script retrieving Photoshop Interpolation preference when it is Photoshop default setting "BICUBICAUTOMATIC" and you can not set the Photoshop Interpolation Preference to "BICUBICAUTOMATIC".  So most of my collage script fail because of that bug.
    Message was edited by: JJMack

  • 9.0.2 page group import fails

    I'm trying to import a complete pagegroup, but the import fails , when i run the script to import the dmp file into the database. The log shows the following error. I dont know where i can correct this. The export went OK.
    $ pg AE*.log
    Connected to: Oracle9i Enterprise Edition Release 9.0.1.3.0 - Production
    With the Partitioning option
    JServer Release 9.0.1.3.0 - Production
    Export file created by EXPORT:V09.00.01 via conventional path
    import done in US7ASCII character set and UTF8 NCHAR character set
    import server uses UTF8 character set (possible charset conversion)
    export server uses AL16UTF16 NCHAR character set (possible ncharset conversion)
    . importing PORTAL's objects into PORTAL
    removed some lines>>>. . importing table "WWUTL_EXPORT_IMPORT$" 1 rows imported
    . . importing table "WWUTL_EXPORT_IMPORT_DETAIL$" 211 rows imported
    . . importing table "WWUTL_DOC_TX_DOCUMENT$" 0 rows imported
    . . importing table "WWUTL_IMPORT_MAPPING$" 0 rows imported
    . . importing table "WWUTL_NLS_TX_STRINGS$"
    IMP-00019: row rejected due to ORACLE error 1401
    IMP-00003: ORACLE error 1401 encountered
    ORA-01401: inserted value too large for column
    Column 1 10020125
    Column 2 wwc
    Column 3 ptl
    Column 4 10020125
    Column 5 ptb
    Column 6 <HTML>..<base href="http://iasdev.csn.com.br:7778/...
    Column 7 <HTML>..<base href="http://iasdev.csn.com.br:7778/...
    Column 8
    Column 9 <HTML>..<base href="http://iasdev.csn.com.br:7778/...
    Column 10 0
    Column 11 AE7A8BF371B30E3CE034080020E8D6DC 1508 rows imported
    removed some lines >>>>>>>>>>. . importing table "WWUTL_PTL_TX_FAVORITE_GROUP$" 0 rows imported
    . . importing table "WWUTL_SBR_TX_APPROVAL$" 1 rows imported
    . . importing table "WWUTL_SEC_TX_GROUP$" 0 rows imported
    . . importing table "WWUTL_SEC_TX_PERSON$" 0 rows imported
    . . importing table "WWUTL_SEC_TX_SYS_PRIV$" 288 rows imported
    . . skipping TOID validation on type PORTAL.WWSBR_SEARCH_PREFERENCE
    . . skipping TOID validation on type PORTAL.WWSBR_SITE_ARRAY
    . . skipping TOID validation on type PORTAL.WWSBR_LANG_STRING_PAIR
    . . skipping TOID validation on type PORTAL.WWSBR_LS_PAIRS
    . . skipping TOID validation on type PORTAL.WWSBR_STRING_PREFERENCE
    . . skipping TOID validation on type PORTAL.WWSBR_SEARCH_ATTRIBUTE
    . . skipping TOID validation on type PORTAL.WWSBR_SEARCH_ATTRIBUTE_ARRAY
    . . skipping TOID validation on type PORTAL.WWSBR_DISPLAY_ATTRIBUTE
    . . skipping TOID validation on type PORTAL.WWSBR_DISPLAY_ATTRIBUTE_ARRAY
    . . importing table "WWUTL_SRC_TX_PREFERENCE$" 0 rows imported
    Import terminated successfully with warnings.
    I'm migrating from development to a production environment. This is very urgent.
    Any help will be appreciated.

    Hi Andrew
    I guess this is one more to the yet long list of translation problems. What you say is also true for the "subpage" smartlink (it displays all versions of a same pages) for example ....
    i'm afraid we'll hav to wait for a major update.

  • "Import Failed" when trying to run a import (Integration) script from FDM

    Backgroud about the Issue : My source system for data is Oracle Orion and the Destination is HFM.Trying to import data fom orion to HFM through FDM via ODBC connectivity.
    Modified the "Integration Script Example" in fdm_admin guide and with this i am able to import data from the Orion to the FDM staging table "tDataSegX" however i am not abel to see any data in the FDM (Import Module) and thats when i get this error "Import Failed".
    Posisble casue according to my knowledge : My Import script only includes code to 1.Connect to ODBC data source 2. copies all the values from the Source table to the staging table.
    I am not sure if i am missing some attributes in the script ??!!
    can some one help me in checking if my imoport scritp is correct ??!!!
    Here is my script
    Function Import_int(strLoc, lngCatKey, dblPerKey, strWorkTableName)
    Dim objSS 'ADODB.Connection
    Dim strOra 'Ora string
    Dim rs ' As New ADODB.Recordset
    Dim rsAppend 'tTB table append rs object
    Set cnSS = CreateObject("ADODB.Connection")
    Set rs = CreateObject("ADODB.Recordset")
    Set rsAppend = DW.DataAccess.farsTableAppend("TDATASEG7")
    Dim strconn
    strconn="Provider=msdaora;Data Source=<<Data Source Name>>;User Id=<<Username>>;Password=<<Password>>;"
    cnSS.open strConn
    strOra = "Select * "
    strOra = strOra & "FROM <<Oracle View>>"
    'Get data
    rs.Open strOra, cnSS
    If rs.bof And rs.eof Then
    RES.PlngActionType = 2
    RES.PstrActionValue = "No Records to load!"
    Exit Function
    End If
    'Loop through records and append to tTB table in location's DB
    If Not rs.bof And Not rs.eof Then
    Do While Not rs.eof
    rsAppend.AddNew
    rsAppend.Fields("PartitionKey") = RES.PlngLocKey
    rsAppend.Fields("CatKey") = RES.PlngCatKey
    rsAppend.Fields("PeriodKey") = RES.PdtePerKey
    rsAppend.Fields("DataView") = "YTD"
    rsAppend.Fields("CalcAcctType") = 9
    'write conditions to eliminate null
    rsAppend.Fields("Amount") = rs.fields("YTD").Value
    rsAppend.Fields("Desc1") = test 'rs.fields("txtAcctDes").Value
    rsAppend.Fields("Account") = rs.fields("MAIN_AC_CODE").Value
    rsAppend.Fields("Entity") = rs.fields("COMPANY_CODE").Value
    rs.movenext
    Loop
    End If
    'Records loaded
    RES.PlngActionType = 6
    RES.PstrActionValue = "ODBC Import successful!"
    'Assign Return value
    SQLIntegration = True
    rs.close
    End Function

    HI,
    I first changed the table name to "strWorkTableName" and tried executing only the script from the Workbench client and got error as bellow
    Financial Data Management Workbench
    -2147467259 - Data access error.
    At Line: 20
    OK
    For some reason i am not ok with running the code in the workbench client (even for checking the syntax) because for the reason that i am not sure if all the parameter required by the funciton will be passed by donig just "Run script."So even with this error in the Work bench client i proceded to the Workflow module in the web interface and run the import and here is what i have now.
    Error: An error occurred importing the file.
    Detail: Invalid procedure call or argument
    here is the error message from the "View Erro Log"
    ERROR:
    Code............................................. 5
    Description...................................... Invalid procedure call or argument
    Procedure........................................ clsImpProcessMgr.fExecuteImpScript
    Component........................................ upsWObjectsDM
    Version.......................................... 1112
    Thread........................................... 15676
    IDENTIFICATION:
    User............................................. admin
    Computer Name.................................... <<ComputerName>>
    App Name......................................... <<ApplicationName>>
    Client App....................................... WebClient
    CONNECTION:
    Provider......................................... ORAOLEDB.ORACLE
    Data Server......................................
    Database Name.................................... HYPTDB
    Trusted Connect.................................. False
    Connect Status.. Connection Open
    GLOBALS:
    Location......................................... ORION
    Location ID...................................... 750
    Location Seg..................................... 4
    Category......................................... WLCAT
    Category ID...................................... 12
    Period........................................... Feb - 2011
    Period ID........................................ 2/28/2011
    POV Local........................................ False
    Language......................................... 1033
    User Level....................................... 1
    All Partitions................................... True
    Is Auditor....................................... False
    ** Begin FDM Runtime Error Log Entry [2011-03-21 14:43:42] **
    ERROR:
    Code............................................. 5
    Description...................................... Invalid procedure call or argument
    Procedure........................................ clsImpProcessMgr.fLoadAndProcessFile
    Component........................................ upsWObjectsDM
    Version.......................................... 1112
    Thread........................................... 15676
    IDENTIFICATION:
    User............................................. admin
    Computer Name.................................... <<ComputerName>>
    App Name......................................... <<ApplicationName>>
    Client App....................................... WebClient
    CONNECTION:
    Provider......................................... ORAOLEDB.ORACLE
    Data Server......................................
    Database Name.................................... HYPTDB
    Trusted Connect.................................. False
    Connect Status.. Connection Open
    GLOBALS:
    Location......................................... ORION
    Location ID...................................... 750
    Location Seg..................................... 4
    Category......................................... WLCAT
    Category ID...................................... 12
    Period........................................... Feb - 2011
    Period ID........................................ 2/28/2011
    POV Local........................................ False
    Language......................................... 1033
    User Level....................................... 1
    All Partitions................................... True
    Is Auditor....................................... False
    ** Begin FDM Runtime Error Log Entry [2011-03-21 14:44:05] **
    ERROR:
    Code............................................. 70
    Description...................................... Permission denied
    Procedure........................................ clsArchiveMgr.fArchiveSupercededDelete
    Component........................................ upsWObjectsDM
    Version.......................................... 1112
    Thread........................................... 7180
    IDENTIFICATION:
    User............................................. admin
    Computer Name.................................... <<ComputerName>>
    App Name......................................... <<ApplicationName>>
    Client App....................................... WebClient
    CONNECTION:
    Provider......................................... ORAOLEDB.ORACLE
    Data Server......................................
    Database Name.................................... HYPTDB
    Trusted Connect.................................. False
    Connect Status.. Connection Open
    GLOBALS:
    Location......................................... SAMPLE
    Location ID...................................... 748
    Location Seg..................................... 2
    Category......................................... WLCAT
    Category ID...................................... 12
    Period........................................... Feb - 2011
    Period ID........................................ 2/28/2011
    POV Local........................................ False
    Language......................................... 1033
    User Level....................................... 1
    All Partitions................................... True
    Is Auditor....................................... False
    ** Begin FDM Runtime Error Log Entry [2011-03-21 14:44:05] **
    ERROR:
    Code............................................. 70
    Description...................................... Permission denied
    Procedure........................................ clsArchiveMgr.fArchiveSupercededFlag
    Component........................................ upsWObjectsDM
    Version.......................................... 1112
    Thread........................................... 7180
    IDENTIFICATION:
    User............................................. admin
    Computer Name.................................... <<ComputerName>>
    App Name......................................... <<ApplicationName>>
    Client App....................................... WebClient
    CONNECTION:
    Provider......................................... ORAOLEDB.ORACLE
    Data Server......................................
    Database Name.................................... HYPTDB
    Trusted Connect.................................. False
    Connect Status.. Connection Open
    GLOBALS:
    Location......................................... SAMPLE
    Location ID...................................... 748
    Location Seg..................................... 2
    Category......................................... WLCAT
    Category ID...................................... 12
    Period........................................... Feb - 2011
    Period ID........................................ 2/28/2011
    POV Local........................................ False
    Language......................................... 1033
    User Level....................................... 1
    All Partitions................................... True
    Is Auditor....................................... False
    ** Begin FDM Runtime Error Log Entry [2011-03-21 14:44:05] **
    ERROR:
    Code............................................. 70
    Description...................................... Permission denied
    Procedure........................................ clsImpProcessMgr.fClearData
    Component........................................ upsWObjectsDM
    Version.......................................... 1112
    Thread........................................... 7180
    IDENTIFICATION:
    User............................................. admin
    Computer Name.................................... <<ComputerName>>
    App Name......................................... <<ApplicationName>>
    Client App....................................... WebClient
    CONNECTION:
    Provider......................................... ORAOLEDB.ORACLE
    Data Server......................................
    Database Name.................................... HYPTDB
    Trusted Connect.................................. False
    Connect Status.. Connection Open
    GLOBALS:
    Location......................................... SAMPLE
    Location ID...................................... 748
    Location Seg..................................... 2
    Category......................................... WLCAT
    Category ID...................................... 12
    Period........................................... Feb - 2011
    Period ID........................................ 2/28/2011
    POV Local........................................ False
    Language......................................... 1033
    User Level....................................... 1
    All Partitions................................... True
    Is Auditor....................................... False
    ** Begin FDM Runtime Error Log Entry [2011-03-21 14:44:05] **
    ERROR:
    Code............................................. 70
    Description...................................... Permission denied
    Procedure........................................ clsImpProcessMgr.fLoadAndProcessFile
    Component........................................ upsWObjectsDM
    Version.......................................... 1112
    Thread........................................... 7180
    IDENTIFICATION:
    User............................................. admin
    Computer Name.................................... <<ComputerName>>
    App Name......................................... <<ApplicationName>>
    Client App....................................... WebClient
    CONNECTION:
    Provider......................................... ORAOLEDB.ORACLE
    Data Server......................................
    Database Name.................................... HYPTDB
    Trusted Connect.................................. False
    Connect Status.. Connection Open
    GLOBALS:
    Location......................................... SAMPLE
    Location ID...................................... 748
    Location Seg..................................... 2
    Category......................................... WLCAT
    Category ID...................................... 12
    Period........................................... Feb - 2011
    Period ID........................................ 2/28/2011
    POV Local........................................ False
    Language......................................... 1033
    User Level....................................... 1
    All Partitions................................... True
    Is Auditor....................................... False

  • Photoshop Elements 9 Organizer "Import Failed"

    OK, I have had this problem before and I know there was a fix for it that had something to do with removing a "cache" file in my pictures folder.
    The issue is, we just simply use the organizer to get pictures from our camera. We have been doing this and it works nicely everytime.
    But once in awhile it scans the memory card, finds the new pictures, we select go ahead an import it, and after flipping through the pictures, it just stops with one message "Import Failed."
    This happened some time ago and there was thread somewhere which said the problem was due to a "incomplete transfer" and there were some files to delete and everything got better.
    Now it has happened again, and we cannot seem to find that article or remember what files to delete.
    Any help would be appreciated.  The solution was simple so we did not save the article for future reference
    Thanks in advance for your help.

    Hi,
    I would suggest, removing the Pictures folder from your watch folder list to solve this issue. For this, in the menu bar , go to File> Watched Folders... .Choose the problamtic folder from the list under 'Folders to watch' and hit 'Remove'. This would solve the current issue, however , you would be record to import files manually from that folder thereafter. Thanks.

  • Open Item Import fails with a process_flag = -999 or -888

    Problem description
    ============
    The Open Item Import fails with a process_flag = -999 in
    mtl_system_items_interface. This keeps the item from being imported into
    mtl_system_items. The process_flag has to be set back to 1 to have the row(s)
    reprocessed.
    Solution
    ======
    UPDATE mtl_system_items_interface
    set transaction_type='CREATE'
    where transaction_type=<'>
    Commit;
    Re run the Item Import it should go fine.
    Regards,
    Mehboob

    Hi,
    It looks like you have posted the same in below thread.
    Item Import completed with Process_Flag = 31, 41 and 42
    Any way if you have problem you can refer to below metalink notes.
    NOTE:103869.1 - Item Attribute vs Template Attributes Using IOI
    NOTE:106812.1 - Instructions for Running Item Open Import (IOI) Including Historical Revision Da
    NOTE:109628.1 - FAQ for Item Import
    NOTE:268968.1 - Understanding Item Import and Debugging Problems with Item Import
    NOTE:458544.1 - Inventory Item Open Interface ITAR Template
    NOTE:52746.1 - A Guideline to IOI Error Messages and Solutions
    Thanks
    Vishalaksha

Maybe you are looking for

  • For travel from US to UK, do I need a subscription...

    Wife and I both have Skype app and can talk for free that way. She is traveling to UK for a week.  Do I need Skype To Go subscription to call her over there (or she call me) or do we keep using our free Skype app? What are the advantages of the subsc

  • Mail Notification for Approval

    Hello, I want to be able to send e-mail's for notification to the approvers to approve the work item (contract, shopping cart) and to a group of people information mail once the work item (contract, shopping cart) is approved and PO is created and se

  • Best way to access my mac G4 files when working on a windows pc laptop

    Hi - I'm looking for ideas on the best way to access files stored on my G4 mac, when working on a pc laptop elsewhere in the house. I'm not sure if this involves setting up a network, or whether I should be looking at ftp or even bluetooth. Just to e

  • External dll call memory leak

    Hi, My VI calls a function in a dll in a loop for a long period of time. I've noticed that after couple of hours it starts loosing memory. It seems like the LabViews consumption of memory stays constant but the System looses memory. I've checked the

  • APEX fails after upgrade to 3.1

    I have installed 3.1 as an upgrade to 3.0.1. I am using APEX as a partner application with SSO. I re-ran regapp and all that stuff, and now I get the following error after I successfully login. Not Found The requested URL /pls/apex/wwv_flow_custom_au