Can't send Excel 2007 File via Send To Email Option after Acrobat Install

Normally, you can send an Excel 2007 file via email to someone by going to the Send option on the Excel file menu and choosing "Email." This will email an actual copy of the Excel 2007 file. However, since installing Adobe Acrobat  9 pro on my computer, this option, as well as the option to send to XPS are gone -- the only email option I have on that menu is to convert the file to a PDF and email it.
It seems to me that Acrobat should ADD options to menus but not remove any! Does anyone know how I can get those missing options (especially the one to email the actual file itself) back? I've tried Googling up a solution, but to no avail so far.
Thanks, in advance, for any help!
Laura

Thanks for taking the time to respond, Aditya!
I saw that MS support article when I was trying to solve this issue on my own, but the solutions it proposes won't work for me -- I can't set Outlook as my email client (this is a work computer and we use Lotus Notes) and I can't muck around in the registry (again, this is a work computer). I know I can attach the Excel via the attach command in the email client, but the main thing is I don't understand why Acrobat has removed that option from the Excel menu. Also, it removed the Send to XPS option (which I don't really care about, but it bothers me because it shouldn't be deleting anything)!
I even tried adding the Email command to the Quick Access Toolbar in Excel -- it let me add the button, but, when I click it, absolutely nothing happens.

Similar Messages

  • I can no longer click on file and send link to why

    I can no longer click on file and send link to mail program! Have gone to applications and chosen g mail and would not work. Changed to win live mail and it will not work.
    help..........

    Sorry, I forgot to mention that this problem occurs when I'm using Facebook in a browser.

  • How do i send or receive files via bluetooth on my iphone 4

    I cannot send or receive files via bluetooth on my new iphone 4

    That's because file transfer by bluetooth is not supported by the iPhone. These are the only supported bluetooth profiles:
    http://support.apple.com/kb/HT3647

  • Can I run Excel 2007 in Boot Camp and run XLSM files?

    Since I have found no way to run Excel 2007 files with macros (xlsm format) on a Mac using Excel 2004, 2008 or NeoOffice, does Boot Camp offer support for running Excel 2007 with V.B. Macros? How about in Fusion or Parallels? Anyone know? Any PC switchers out there who have done this?

    I read somewhere that there was a problem with certain incompatibilities in the hardware. I guess the only thing to do is just install everything and test the macros. It'll work or it won't. I'll post the results when I have them. Thanks.

  • Accessing Excel 2007-file from BO Explorer App

    Hello all,
    I have a problem when trying to access excel 2007-files in an InfoSpace ( XI 3.1 SP2) from the iPad with the BO Explorer App.
    Accessing this file from the Browser is no problem and accessing the same file saved as excel 2003 is also no problem from the IPad.
    Anybody an idea what could be the problem? I have to save some files with excel 2007 because number of rows.
    Thanks in advance!
    Regards,
    Christian
    Additional hint: Always the following error message appears:
    It is not possible to retrieve the facets within the Explore tab.
    org.xml.sax.SAXParseException:Character reference "&#26" is an invalid XML character.
    Any idea? Thanks!
    Edited by: Christian Hurth on Sep 9, 2011 2:35 PM

    I do now see that you are correct: there is a difference between Excel 2007 and Excel 2010. Your particular usage is somewhat unusual and there probably isn't anything you can do, except to recognise that you are a beneficiary
    of it (although others may be less fortunate).
    The type of protection that you are applying protects the workbook against certain types of change; it does not prevent people opening it, and they do not need a password to open it, so, in a sense, it does not need to be
    encrypted. In Excel 2007 (and Excel 2003 before it), the workbook was, nonetheless, encrypted, and in Excel 2010 it isn't.
    This change means that someone can remove the protection outside Excel (just by deleting that single line of XML), something that couldn't be done before so it is a loss of security.
    I'm a Word person, rather than Excel, and I don't know all the details of the different types of 'protection' that can be applied to Workbooks and Sheets.
    I have, however, not seen anything about this before and will ask some Excel friends if they know anything. Beyond that I don't think I can help any more.
    Enjoy,
    Tony
    www.WordArticles.com

  • Opening MS Excel 2007 files + Spotlight

    Hey all,
    A couple of quick questions.
    1. Is there any way to open MS Excel 2007 files? I tried Neooffice and an XML converter (which I now understand can convert only .docx and .pptx files?)
    2. Is there a spotlight widget? So I can run spotlight whilst pulling up my dashboard?
    Please let me know!
    Thanks in advance!
    Cheers,
    Mustan

    Some quick answers:
    1. No. (Unless you count MS Excel 2007 on Windows.) MS is "working on it."
    2. Not that I know of. But I could be wrong.

  • Excel 2007 - Files will not open from outside of Excel 2007

    Hello,
    I'm a new user of Xcelsius 2008, in fact I just had it installed yesterday.  The issue I've come across ever since the install, is when I attempt to open an Excel 2007 file from My Documents window (i.e Windows Explorer) a new instance of Excel will open, churn for a few seconds and then nothing...the file doesn't open nor is there a new workbook, all I see is a blank Excel screen.
    I am however, able to click on Open within Excel, navigate to the appropriate location and open the file that way. 
    A couple notes: a) yesterday I also had Business Objects XI 3.1 installed yesterday, one of the options is "Enable Office Add-ins" I've tried to open files through Explorer with and without this enabled.  b) When I try to open a file with Explorer, at the bottom of Excel I see: "Opening:EUROTOOL.XLAM" for a brief moment, and again, all I'm left with is a new instance of a blank Excel screen.
    Any help would be greatly appreciated!
    Thanks!
    Chase K.

    Hi Chase
    Welcome to the forum!
    Well its the newbie gotcha!  The behavior is caused by Live Office compatibility mode.  Go to File - Preferences - Excel Behavior and turn it off, disable it,  uncheck it!  Exit Xcelsius and restart.
    You'll probably never have to use internal LO mode since the recommended workflow if you're using LO is to do it outside of Xcelsius anyway.
    I know its hard to read all the info when you get a new product. But the initial LO compatibility screen you clicked through does warn about this.
    And don't forget to update to the latest FP2, it'll save you posting about issues that have already been fixed.
    Andy

  • Send photos as FILES not PICTURES in email attachments - HOW?

    Send photos as FILES not PICTURES in email attachments - HOW?

    Control click on the attachment in the body of the email and select 'view as icon'.
    There is a plugin called Attachment Tamer (www.lokiware.info) that adds much improved functionality to Mail.

  • Why can't i import AVCHD files via the Media browser?

    Why can't i import AVCHD files via the Media browser ? (Right click on the AVCHD file and imported, got the "format not supported" message.) I can import through the File-Import way  ( I can get down tot he BDMV folder and mts files) but read using the Media Browser is the preferred way.

    No you need the private folder. This will open in the Browser.
    Dont open just click.

  • Can't find my ipad iphone in my computer to win7 after i installed itunes.

    can't find my ipad iphone in my computer to win7 after i installed itunes.
    before that  i can't use my iphone or ipad  as a flash driver.
    help!

    before that  i      can     use my iphone or ipad  as a flash driver.

  • I can not play any kind of video on my ipad 3 after i installed ios7 please help me

    i can not play any kind of video on my ipad 3 after i installed ios7 please help me

    I had to reset it again and use the desktop software reinstalling the entire basic software. On your desktop blackberry software go to the software up date thoght tools and if there are no newer veresions available, chose the botton for las version and intall it.

  • Excel 2007 Problems while sending E-Mail with Excel Attachment

    Hello all,
    i wrote a small WD application for uploading a file and sending this file
    vie e-mail to some recipients.
    I'm using class "cl_document_bcs". No problem with ".doc", ".pdf", also no problem
    with ".xls" files generated by old Excel version. But when generating an .xls document with
    Excel 2007, the attached file is not readable (just non usable signs).
    For attaching the .xls file i use:
      TRY.
          lr_attachment =  cl_document_bcs=>create_document(
              i_type        = lv_filetype " --> 'XLS'
              i_subject     = 'excel document'
              i_hex         = lt_file_solix
        CATCH cx_document_bcs .
      ENDTRY.
    Are there any restrictions regarding Excel 2007?
    The data element of i_type is just char3, so XLSX
    wouldn't be possible.

    hello Christopher Linke ,
    if you Programatically generation a excel file and you have 2007 version you can use OLE automation to save file as .xls i.e. a 2003 work book ..
    if you have a excel file ready and you just want to send it across the you will have to convert the xlsx to xls and then send it you can do this as well using OLE automation
    (in 2007 in SAVE AS option you can save it excel 97 2003 workbook)
    Edited by: Anup Deshmukh on Mar 3, 2010 3:38 PM

  • How to send a pdf file via http call

    Hi Experts,
    Please try to think on how you would send a file like a pdf file via the http call. You might need to convert the pdf in a character string which can be sent via http. The character string then might need to  get converted back into a pdf and saved in a file. Read through the Archive Link API guide to see how they send the body of a file.
    Please it is urgent......
    Thanks
    Basu

    so you want to push the PDF file over http to external system.
    where is pdf file stored.
    for examle if its in the clients desktop, you can use gui_upload to upload to internal tabble (type BIN) then use FM SCMS_BINARY_TO_XSTRING to conver the binary table to type string.
    then use cl_http_client class to push the file to the destination.

  • Sending an Image file via UART

    Hi All,
    1. Is it possible to send an image file( .jpg -1080p) from PC to FPGA(ML605 evaluation board) via UART ?
    2. .jpg to .hex to .txt then  through hyper terminal ? or any  other way ?
    Meganadhan
     

    thanks ignacio
    i will try it letter
    but for sending an image to fpga, can i use realterm?  actually i'm doing image encryption project and the size pixel is 1600X1600, it's very big , but it's ok if it will take a long time for transfer it via UART because i just want to make sure that my alghorithm was true.
     and i have some trouble with the uart rx code. i would like to simulate it with random bit that represent transfer bit from pc, but it's hard to sychronise the timing between uart rx and the random bit program , here the code
    RANDOM BIT
    library IEEE;
    use IEEE.STD_LOGIC_1164.ALL;
    entity random is
    generic (
    g_CLKS_PER_BIT : integer := 87 -- Needs to be set correctly--> 10 MHZ/115200(baud rate)
    Port ( clk :in STD_LOGIC;
    output : out STD_LOGIC);
    end random;
    architecture Behavioral of random is
    signal counter: integer:=0;
    begin
    process (clk)
    begin
    if rising_edge(clk) then
    counter <= counter+1;
    if counter= (g_CLKS_PER_BIT-1) then
    output <= '1';
    elsif counter=55 then
    output <= '0';
    elsif counter=65 then
    output <= '0';
    elsif counter=75 then
    output <= '0';
    elsif counter=85 then
    output <= '1';
    elsif counter=95 then
    output <= '0';
    elsif counter=105 then
    output <= '0';
    elsif counter=115 then
    output <= '0';
    --elsif counter =1 then
    counter <= 0;
    end if;
    end if;
    end process;
    end Behavioral;
    ----------------------------------------------------------------------UART RX
    -- File Downloaded from http://www.nandland.com
    -- This file contains the UART Receiver. This receiver is able to
    -- receive 8 bits of serial data, one start bit, one stop bit,
    -- and no parity bit. When receive is complete o_rx_dv will be
    -- driven high for one clock cycle.
    -- Set Generic g_CLKS_PER_BIT as follows:
    -- g_CLKS_PER_BIT = (Frequency of i_clk)/(Frequency of UART)
    -- Example: 10 MHz Clock, 115200 baud UART
    -- (10000000)/(115200) = 87
    library ieee;
    use ieee.std_logic_1164.ALL;
    use ieee.numeric_std.all;
    entity receive is
    generic (
    g_CLKS_PER_BIT : integer := 87 -- Needs to be set correctly--> 10 MHZ/115200(baud rate)
    port (
    i_clk : in std_logic;
    i_rx_serial : in std_logic;
    o_rx_dv : out std_logic;
    o_rx_byte : out std_logic_vector(7 downto 0)
    end receive;
    architecture rtl of receive is
    type t_SM_MAIN is (s_IDLE, s_RX_START_BIT, s_RX_DATA_BITS,
    s_RX_STOP_BIT, s_CLEANUP);
    signal r_SM_MAIN : t_SM_MAIN := s_IDLE;
    signal r_RX_DATA_R : std_logic := '0';
    signal r_RX_DATA &colon; std_logic := '0';
    signal r_CLK_COUNT : integer range 0 to g_CLKS_PER_BIT-1 := 0;
    signal r_BIT_INDEX : integer range 0 to 7 := 0; -- 8 Bits Total
    signal r_RX_BYTE : std_logic_vector(7 downto 0):= (others => '0');
    signal r_RX_DV : std_logic := '0';
    begin
    -- Purpose: Double-register the incoming data.
    -- This allows it to be used in the UART RX Clock Domain.
    -- (It removes problems caused by metastabiliy)
    p_SAMPLE : process (i_clk)
    begin
    if rising_edge(i_clk) then
    r_RX_DATA_R <= i_rx_serial;
    r_RX_DATA <= r_RX_DATA_R;
    end if;
    end process p_SAMPLE;
    -- Purpose: Control RX state machine
    p_UART_RX : process (i_clk)
    begin
    if rising_edge(i_clk) then
    case r_SM_MAIN is
    when s_IDLE =>
    r_RX_DV <= '0';
    r_CLK_COUNT <= 0;
    r_BIT_INDEX <= 0;
    if r_RX_DATA = '0' then -- Start bit detected
    r_SM_MAIN <= s_RX_START_BIT;
    else
    r_SM_MAIN <= s_IDLE;
    end if;
    -- Check middle of start bit to make sure it's still low
    when s_RX_START_BIT =>
    if r_CLK_COUNT = (g_CLKS_PER_BIT-1)/2 then
    if r_RX_DATA = '0' then
    r_CLK_COUNT <= 0; -- reset counter since we found the middle
    r_SM_MAIN <= s_RX_DATA_BITS;
    else
    r_SM_MAIN <= s_IDLE;
    end if;
    else
    r_CLK_COUNT <= r_CLK_COUNT + 1;
    r_SM_MAIN <= s_RX_START_BIT;
    end if;
    -- Wait g_CLKS_PER_BIT-1 clock cycles to sample serial data
    when s_RX_DATA_BITS =>
    if r_CLK_COUNT < g_CLKS_PER_BIT-1 then
    r_CLK_COUNT <= r_CLK_COUNT + 1;
    r_SM_MAIN <= s_RX_DATA_BITS;
    else
    r_CLK_COUNT <= 0;
    r_RX_BYTE(r_BIT_INDEX) <= r_RX_DATA;
    -- Check if we have sent out all bits
    if r_BIT_INDEX < 7 then
    r_BIT_INDEX <= r_BIT_INDEX + 1;
    r_SM_MAIN <= s_RX_DATA_BITS;
    else
    r_BIT_INDEX <= 0;
    r_SM_MAIN <= s_RX_STOP_BIT;
    end if;
    end if;
    -- Receive Stop bit. Stop bit = 1
    when s_RX_STOP_BIT =>
    -- Wait g_CLKS_PER_BIT-1 clock cycles for Stop bit to finish
    if r_CLK_COUNT < g_CLKS_PER_BIT-1 then
    r_CLK_COUNT <= r_CLK_COUNT + 1;
    r_SM_MAIN <= s_RX_STOP_BIT;
    else
    r_RX_DV <= '1';
    r_CLK_COUNT <= 0;
    r_SM_MAIN <= s_CLEANUP;
    end if;
    -- Stay here 1 clock
    when s_CLEANUP =>
    r_SM_MAIN <= s_IDLE;
    r_RX_DV <= '0';
    when others =>
    r_SM_MAIN <= s_IDLE;
    end case;
    end if;
    end process p_UART_RX;
    o_rx_dv <= r_RX_DV;
    o_rx_byte <= r_RX_BYTE;
    end rtl;
    TOP
    library IEEE;
    use IEEE.STD_LOGIC_1164.ALL;
    entity top is
    port(cl_k: in std_logic
    end top;
    architecture Behavioral of top is
    --component random
    component random is
    generic (
    g_CLKS_PER_BIT : integer := 87 -- Needs to be set correctly--> 10 MHZ/115200(baud rate)
    Port ( clk :in STD_LOGIC;
    output : out STD_LOGIC
    end component;
    --component uart rx
    component receive is
    generic (
    g_CLKS_PER_BIT : integer := 87 -- Needs to be set correctly--> 10 MHZ/115200(baud rate)
    port (
    i_clk : in std_logic;
    i_rx_serial : in std_logic;
    o_rx_dv : out std_logic;
    o_rx_byte : out std_logic_vector(7 downto 0)
    end component;
    --signal
    signal mlebu:std_logic;
    signal enabl:std_logic;
    signal metu :std_logic_vector (7 downto 0);
    begin
    a:random port map( output=>mlebu,
    clk=>cl_k
    b:receive port map( i_clk=>cl_k,
    i_rx_serial=>mlebu,
    o_rx_dv=>enabl,
    o_rx_byte=>metu
    end Behavioral;
    regards
    halim

  • Nokia N8 - Sending large video files via email pro...

    Hello, When I try to send a pretty large video file via email on my N8 I get the following error "Memory low. Delete or move some data from C: Phone memory." However my videos are stored on my memory card which has plenty of space so I am confused and not sure what to do
    I hope someone can help me on this, any help is greatly appreciated.
    Thank You.

     My best guess would be that the N8 temporarily caches the video to C: memory when sending via Email and therefore the file size exceeds the available free memory on C:.
     I'm not sure the email server will allow the sending of files larger than a certain limit anyway, so you may be out of luck if you want to send any videos via email.
    Ray

Maybe you are looking for

  • Initialization error [-70012] in Mavericks

    Have a MBP 15", Early 2011 with a 2 GHz Intel Core i7, 8 GB 1333 MHz DDR3, 1 TB 72000 rpm HD fused with a 120 GB SSD, running OS X 10.9.1 (12B42) the original CD/DVD SuperDrive is removed for the SSD, but installed into an USB case and connected exte

  • Can't connect wirelessly to a d-link wbr-2310 router

    I'm having trouble getting a friend's Core Duo Macbook hooked up wirelessly to her d-link wbr-2310 router when I try to enable WPA security. When I type in the ip address and go to the admin page of the router and select no security for the wireless

  • Adobe Illustrator CS2 & Windows Vista Ultimate.

    Dear All, I completed a upgrade of my Windows to Windows Vista Ultimate from Windows Vista Business, since then the get the following message when I open Illustrator "the operation cannot complete because there isn't enough (RAM) available" I have 2G

  • How do i remove a word from spell check in OS X 10.4?

    Hi all, I accidentally added a misspelled word to the spell check dictionary and i was wondering how to remove it. I noticed there were already a few similar questions, but i could not get their solutions to work, as they were obviously using a new v

  • FForeign Characters = Garbled HTML

    My daughter has a MacBook Pro. In the past, she's used her PC to upload HTML pages from MS Word docs for her internet Spanish students. These docs contain accented letters, etc. Everything works fine from her PC, but the Spanish characters show as bo