Default output device not coming

I made one PDF form and attached it in List LG01 in CB85 to print process order through COR2 output device is mentioned as LP01 in list Lg01, but while printing, output device is coming as which is mentioned in user profile.
I want that output device which i mentioned in configuration should come as default during printing through COR2....Pl. help
Edited by: lisa harley on Feb 26, 2010 11:09 AM

Hello,
Please check the below settings at "Printer Specification" for CB85,
If output device = 1  ,then it will select the output device for user profile
if output device  = 2 , Output device from configuration, ie CB85
Regards
Pradeep

Similar Messages

  • Output device not automatically determined in VF02

    Hello gurus,
    My default output device is not coming automatically when I add an output type in VF02. This is an issue because output type has requirement 62 set in output procedure, therefore it will not be added until invoice is release to accounting. Futhermore, we have implemented Argentina eletronic invoice, which won't allow to change the invoice once it has been released to accounting. Quite a contradiction. So I'm hoping the output type will be added by condition technique while releasing to accounting, yet i believe since the output device is not being automatically determined in the background, it won't do.
    Any ideas?
    Thank you very much.
    JP

    Hello guys,
    I had checked all your suggestions before posting this thread, but still the issue remains:
    - VV31 condition records are fine but I cannot set a single output device since it will be used for many output devices.
    - Analysis was carried in VF02 and the condition is being picked up but since requirement 62 is set in the output procedure, which forces the billing document to be first released to accounting, the condition is being removed:
    - Printer is set in the user profile options, but does not come as default in VF02
    Thank you all.
    Kind regards,
    JP

  • Output device not listed.

    What if my sound output device not listed? Trying to connect my MacBookPro 17" (mid 2010) to my tv. Video plays. I have connected digital audio cable to both tv n Mac. When I go to sound in system preference (after cord was plugged in) nothing listed. 

    Very well stated.  And, sometimes I do forget that there is still such a thing as celluloid.  Not to mention all the new "K"s that RED is coming up with (aren't they working on something like 27 or 28k now?)  When I look at it from that point of view, what you say makes perfect sense.
    But then why does preferences>video preview in After Effects give me the "output device" option of IEEE 1394 at NTSC 720x480?  This leads me to believe that I could plug a deck into 1394 and get a video preview.  If this is the case, then my way of thinking says it should offer other options for "output device".
    When I first set up Premiere Pro CS4, the playback settings did not list the HDMI HDTV as an external monitor option.  It wasn't until I fooled around with the system's display settings and turned the HDTV into an extention of the desktop that suddenly Premiere Pro listed it as a possible external monitor.  So, my history there (and my goofy sense of logic that makes perfect sense to me) has me wondering if a similar sequence of messing with the display options is suddenly going to make AE see the HDTV as an "output device".  I am not interested in realtime playback, merely the closest reference possible as to what my video image looks like in HD on an external monitor.  I ask "why do I need a separate video card like my old Matrox card, when standard graphics cards these days can handle HD & even have HDMI out?"
    Admittedly, I know next to nothing about the complexity & inner workings of software and computer components.  Why, I was ready to throw a party just because I successfully installed an additional hard drive in my new computer.  I must be like one of my own clients who don't understand why we can't easily separate a voice track from a music track when it's been rendered as one .mp3 file...or why we can't quickly cut a person out of a video layer and make them seamlessly interact with footage of JFK, Zemekis-style.

  • Settings to set default Output Device in FPCJ

    Hi,
    System is taking LP01 as default Output Device instead of the custom made Output device(ZLOCL) while executing the Z smartforms using transaction FPCJ.
    In user setting I have defaulted ZLOCL as default output device & desired page format type is also assigned to the smartforms.
    Is there any other system/config setting to be done to make ZLOCL as default.
    Please advice.
    Thanks in advance
    Sunil

    Sunil,
    Check your user profile using tcode SU3 and make sure you do not have LP01 there as your default OutputDevice. If you have it then your printing jobs will default on that.
    I hope this helps you.
    Regards,
    David

  • How to set a default output device for FAX outputs

    Hi Experts,
    Business requires to set a default output device for fax outputs ( Medium as 2 Fax medium ).
    as fas as print output ( medium as 1 print medium ) is considered we can maintain output device in condition record--> communication
    I tried maintaining output device in VP01 for my condition type based on sales org but it did not worked.
    Can you please suggest ways to achieve default output device for fax outputs.
    Thanks,

    Well, obvious solution would be to either update user profiles or change the output from 'process immediately' to 'process by a background job'. Then create a generic user ID with any fax number desired and use that user ID to process the output through the background job.
    This is not really an SD question, so if this simple option doesn't work for you, I'd suggest to discuss other custom solution with your ABAP / Basis team.

  • How to redirect built in mic to default output device

    Is there a program i can get that will take my voice from the default input device (built-in mic) and redirect the audio to the default output device?
    Note: i do not want to record my audio.
    Thanks for your time.

    Ask Microsoft.... I'm not kidding either. This is a mac forum. You could try to be more specific but, I really don't know if anyone will have an answer.

  • Output device not defined error while saving the quotation

    Hi all,
    We have some custom output types (ZGEN, ZSSO, ZQTO, ZJT)configured in the nace transaction for Application V1.  Whenever i am creating / saving a quotation one of the output type(ZQTO) is not processing for mail (External Send) and it is displaying an error as 'Output device not defined'.  If i remove all the output types while changing the quotation in VA22 from header, it is processing.
    I checked with all other output types combination.  The error is trigerred only when we  have ZJT output type along with ZQTO.
    We are not able to recognise the error.  Please help out to solve this issue.  Its very urgent.
    Thanks.

    Hi Remi
    Thanks for your reply. As per your reply I followed procedure and it allowed me to save Basic Pay Infotype (0008)
    Thanks a ton.
    Regards
    Prakash Bhave

  • BPM_DATA_COLLECTION fails with (Output device "" not known) error

    Hi all,
    I have an issue with Output BPM_DATA_COLLECTION_1 job in the satellite system  failing with 'Output device "" not known error.  Since it is collecting data for Solution Manager system why does is it trying to find an output device.
    It did not fail before and now I added another key figure (custom one) which is done the same way the other custom monitors are done in "Z_BPM_ECU_COLLECTOR" report and then in /SSA/EXM program, but the collector job started to fail.
    Also, for some reason there are two BPM_DATA_COLLECTION jobs, one is BPM_DATA_COLLECTION_1 and the other is BPM_DATA_COLLECTION_2.  _1 runs every 5 min and _2 is less frequent. They both seem to runt the same job which is /SSA/EXS. Why are there two jobs scheduled from solution manager in my satellite system?
    Thank you very much for your help!

    I am experiencing this same issue in our ECC 6.0 system.  We currently have ST-A/PI release 01M_ECC600 level 0001 applied to our system.  These jobs finish successfully in SM37, but I'm seeing the same error messages in our system logs (SM21).
    When I try to update the output device that is associated with these jobs, the user ID running the jobs is not valid since it's user type is Communication Data.
    Does anyone know if it ok to change the user for this job? Should it be run by DDIC?  I believe the jobs were created automatically when we applied ST-A/PI release 01M_ECC600 level 0001.

  • ALV output is not Coming

    Hi Friend ,
    I ve a problem with the ALV output. Actually i am getting all the value in the internal table and then i am binding the table with the node . But i wonder why the output is not coming in the ALV table only the blank table is coming .
    Regards,
    Satya

    Hi
    first u instantiate the alv component with the use of wizard.
    then u call the method "GET_MODEL".
    Then u call the method "SET_DATA" and set the alv table with the node in which u have ur data.
    check this code.....
      DATA lo_cmp_usage                 TYPE REF TO if_wd_component_usage.
      DATA lo_interfacecontroller       TYPE REF TO iwci_salv_wd_table .
    *declaration of alv usage
    *declare config model
      DATA lo_config_model_value        TYPE REF TO cl_salv_wd_config_table.
      lo_cmp_usage =   wd_this->wd_cpuse_alv_requi_list( ).
      IF lo_cmp_usage->has_active_component( ) IS INITIAL.
        lo_cmp_usage->create_component( ).
      ENDIF.
      lo_interfacecontroller =   wd_this->wd_cpifc_alv_requi_list( ).
      lo_config_model_value = lo_interfacecontroller->get_model( ).
    lo_interfacecontroller->set_data(
          r_node_data =      lo_nd_req_list
    here lo_nd_req_list is the name of my node.
    Regards
    chythanya

  • Output device "" not known

    Hi Expert,
    I try to execute SWU3 for automatic customizing workflow on ECC 6.0.
    I have got error Output device "" not known and no background job is executing in my system .
    This error I am getting for this activities
    Schedule Background Job for Missed Deadlines
    Schedule Background Job for Work Items with Errors
    Schedule Background Job for Condition Evaluation
    Schedule Background Job for Deadline Monitoring
    I search in other topic, it mention that basis guy do something bot not clear how to solve it.
    Please help me.
    Thanks in advance.

    Hi Karri,
    Thanks for the reply.
    Yes I do have authorization for execute SWU3. My user ID have same authorization as sap*.
    WF-Batch User Exist, RFC for workflow localXXX also set.
    From thread : Error activating  SWU3 -   output device "" unknown
    I see this issue solve by basis, they adding some parameters to start and instance profile.
    But I have no idea which parameters.

  • Output device not detected

    My Mac Air is in mute mode with no output device detected and I cannot move it out of that mode in system prefs.

    I just realized I posted this in the wrong place. I moved it here. http://answers.microsoft.com/en-us/insider/forum/insider_wintp-insider_devices/monitor-on-secondary-display-output-device-not/2dd93e8b-4d29-42dd-9a6f-189992074ae8?tm=1430617069126

  • Default output device for smartform

    Hi all
    how can we assign a default output device to a smart form so that the every time we execute a smartform it doesnt ask for a output device?
    thanks in advance....

    Hi
    First see what your default out put device is.
    That you can see in SU3>Default>OutputDevice.
    Suppose it is set as 'PRINTER' .
    Then in ur print program you have to write the logic as below.
      CALL FUNCTION 'SSF_FUNCTION_MODULE_NAME'
        EXPORTING
          FORMNAME           = 'Z_smartform
        IMPORTING
          FM_NAME            = WF_FUNCMODULENAME
        EXCEPTIONS
          NO_FORM            = 1
          NO_FUNCTION_MODULE = 2
          OTHERS             = 3.
      CLEAR: WA_CONTROL_PARAMETERS.
        WA_CONTROL_PARAMETERS-GETOTF    = 'X'.
        WA_CONTROL_PARAMETERS-LANGU = 'E'.
      WA_CONTROL_PARAMETERS-DEVICE = 'PRINTER'.
        CALL FUNCTION WF_FUNCMODULENAME
          EXPORTING
            CONTROL_PARAMETERS   = WA_CONTROL_PARAMETERS "Control Params
            OUTPUT_OPTIONS       = WA_OUTPUT_OPT  "Output Options
            WF_CARRID            = WF_CARRID
            WF_CONNID            = WF_CONNID
            WF_FLDATE            = WF_FLDATE
          IMPORTING
            DOCUMENT_OUTPUT_INFO = WA_DOCUMENT_OUTPUT_INFO
            JOB_OUTPUT_INFO      = WA_JOB_OUTPUT_INFO
            JOB_OUTPUT_OPTIONS   = WA_JOB_OUTPUT_OPTIONS
          EXCEPTIONS
            FORMATTING_ERROR     = 1
            INTERNAL_ERROR       = 2
            SEND_ERROR           = 3
            USER_CANCELED        = 4
            OTHERS               = 5.

  • Output device not changing in Spool

    Dear Fellows,
    I am having problem in the printing of purchase contracts. Users want to keep the output proposed in contract creation in pending status and keep on using it through ME9K by trial  print out.
    Original output is proposed with output medium of printout OK. Now if I change it to output medium fax in ME9K and do a trial fax it does not work. SP01 shows the original printer as output device and not the fax machine.
    I am not sure where the problem is. Please help why output device is not changing when the output medium is changed ?
    Tkx
    IMJ

    Dear,
    When in NACE tcode, you must be selected Application EV, then select output types button. Then select the output type for Fax->then branch to detail , go to default value tab: then you''ll find transmission medium.You can select "fax" or "external send".
    If you're using external send, u need to maintain the "communication strategy"in
    IMG-Application serverbasis servicesmessage controlDetermine Comm.Strategy,then name it and maintain the comm,typethen select the comm.method=fax.
    Regards,
    w1n

  • How to select default output device (microphone) in ALSA?

    Hello,
    My webcam microphone does not work.
    It is not set in ALSA, and pulseaudio points back to ALSA. As a consequence, skype doesn't work.
    I have read a bunch of wiki and manpages, found no answer. https://wiki.archlinux.org/index.php/ALSA#Configuration
    Hence, how do I set usb webcam microphone as the default input device in ALSA? It is set by alsamixer, but
    $ arecord -d 5 test-mic.wav
    $ aplay test-mic.wav
    produces nothing except files.
    I've installed archlinux only because OpenBSD doesn't support skype. That's what I need from archlinux for.
    I appreciate your answers and thank in advance.

    emeres wrote:
    To use arecord with your webcam you need to know and specify the device:
    arecord -l; # Look for your usb device
    arecord -D hw:X,Y # where X is the card number and Y the device number
    As for skype, it should have some configuration options.
    I know the device. I can see it by various commands. I know for various config options. That's now what I'm asking.
    I am asking how to specify it. Where is the config file for ALSA? Is it input or output device?

  • Output message not coming automatically for PO

    hi
    i cerated new output message sending PO to vendor by e-mail. I maintained condition records in MN04. Condition table, access sequence all are maintained. I included new output type into the control data of the existing schema RMBEF1 and the schema is assigned to PO also. Also maintain entry in following string   SPRO- Material Management-Purchasing-Messages-Output control-Message Types-Define Message type for PO---Fine-Tuned Control: Purchase Order
    Still the output message is not coming automatically while creating PO  every time i add output type namually.  please guide
    regards

    Hope you have maintained condition for combination. preferrably -
    1) Purch Doc type > PORG > Vendor
    2) Please make sure that, you have maintained the fileds which are relavant for output.(if you are making any changes in existing PO and you need output)
    Hope this will help you

Maybe you are looking for