Delay compensation breaks when sidechaining to a multi-out instrument Aux.

This problem is reproducible on any system and all versions of Logic 8 or 9.
It's a common practice to insert a Logic compressor on a bass track and set the sidechain to a kick drum sent to a bus. So if your drums are coming from a multi-output virtual instrument and the source for the sidechain is NOT the main outs, then automatic delay compensation breaks. Unfortunately, this means you can't sidechain to any additional outputs. Your kick has to be on the main instrument channel outputs, sent to a bus, which the sidechain points to. Otherwise, no go.
Delay compensation is not correctly implemented on Effect plugins loaded as instruments either. Roger Nichols' SPL-izer relies on the same architecture as the above scenario in that its main output is generally muted and its three other stereo outputs are sent to Aux channels (three frequency bands). If any of those are set as objects for sidechaining, the same thing happens as with the kick/bass situation.
The bottom line is that I really hope this is fixed in the next update to Logic. It's been a long wait. There are many situations in which I need more than one sidechain to more than one drum output. Currently that requires a new instance of a drum source.

Alien Implant wrote:
I'd be interested in what you experience if you try my scenario as I described >it, with the Logic compressor on a bass track with the sidechain pointing at a >bus with an Aux channel (any output other than the instrument mains) of Ultrabeat >loaded as a multi-instrument (12 or 16 outputs or whatever max it allows).
Well, I created the same conditions with UB using three drum pads - Kick, ClosedHH and OpenHH routing them as Auxes from the multichannel UB instance.
The Kick Aux is routed thru Bus 1 feeding the sidechaing of the Bass (ES1) Compressor, while the Closed HH feeds the sidechain of the (ES2) Noise Gate chopping the ES2 strings patch.
I exported a short non-voice over video just to show you that there are no timing side-chain issues ( this is most audible with the Nose Gate strings chopping ). Have a look at the video below.
*Sidechain Timing test* - [QUICK VIDEO|http://audiogrocery.com/video/sidechain_test.zip]
!http://img59.imageshack.us/img59/4967/aglogo45.gif!

Similar Messages

  • How do I get a section break/page break when the item is greyed out?

    I've created an A4 document in word processing mode, with four identical A6 text boxes covering the entire page. Then I've created a second page with four more A6 text boxes so I can print a postcard on both sides of the card. Trouble is, Pages has made two extra text pages that I don't want, the first is linked to Pages 1 and 2, and the second is separate. I can't create a section break or page break because the command is greyed out, so I can't delete page 3. When I try and delete page 4, which is a stand alone page, it also deletes pages 2,3 and 4, leaving me with just page 1!
    I don't have any problem with page breaks or section breaks in an ordinary A4 document with just one text box on a page, but I often want to create multiple text boxes and when I do I have always had this problem, ever since Pages was first introduced. Can anyone tell me what I'm doing wrong, please?

    Thank you, Yvan, but I've tried that. Firstly, I unchecked the headers and footers tick box in the Inspector because I want the postcards to be exactly A6 size, that is, one quarter of A4. I've tried making the lower two text boxes slightly smaller (which I don't really want to do, because I want them A6 size) but I still can't get the insertion point to appear below the text box – it stays resolutely in the next page and won't go back up to the previous page. Any further ideas? Thank you.

  • Need help with creating multi out instruments...

    What do I do wrong here… I tried to figure this out already since at least 1 year. I am desperate!
    Here is what I do while trying to make a multi output instrument in logic studio 9:
    1:I create 1 software instrument:
    2: I choose a multi output stereo AU Instrument:
    3: I load 5 software instruments, each on its own channel, all in the same Logic Instrument:
    4: In the mixer,  I created 5 Aux channels by clicking on the + sign of the Instrument:
    5: In the arrange window, I  create 5 new channels from the Track menu with New with Next Midi Channel:
    Now when playing  the 1st instrument track, still the sound goes directly to the output 1/2, the Aux sliders don't have any control over the volume. What do I do wrong?
    Hope to find some help,
    André

    Hi
    Depending on which version of Kontakt you are using, there are some "automated" ways of creating additional outputs, and assigning each sound to a different output:
    Pic show Kontakt 4
    Once you have done that, and (in Logic) loaded a Multi-Out instance of Kontakt, all you have to do is create the additional Aux channels in the mixer (using the small +) at the bottom of the Instrument Channel Strip).
    CCT

  • Recording a Multi-Out Instrument as separate files in one pass

    Hello,
    I´m sorry if this question has been posted before.
    I´m on Logig 9 and I´d like to bounce all tracks from my multi-output instance of Play to separate tracks. In play I have, say, a string section so I want Violins 1 in one file, Violins 2 in one file etc.
    I have a multi-out instance of play with each midi channel set to a different output. I´ve created Aux channels with each Play output channel set as input channel respectively. So here comes the actual question:
    Do I need to route the Aux channel outputs to Audio tracks in order to be able to record all of them in one pass or does the new "Bounce in place" work on aux tracks as well or do I do something else?
    Curiously in my test session now when I create Audio tracks the tracks that appear don´t have record buttons at all, which I don´t understand.
    I hope that anyone can clarify. Thanks in advance,
    pessi

    Hi,
    I did what you said:
    1. Exported an active sequence to FCP XML
    2. Parsed it in order to get the information on the segments
    3. I set the in/out points of the sequence in a loop (let's assume I have 2 segments)
    4. Send the adjusted sequence to the render
    But there is an issue - all files are got rendered with the timing of the last segment.
    The problem is that the segments are sent to MediaEncoder only after the script finishes, which means if I run a loop all my adjustments are overridden by the last setting.
    How to overcome the problem?

  • Plugin Delay Compensation, reverb busses & film scoring?

    Hello,
    When I score a film, the first thing I do is make sure the window burn time code in the film & Logic's time code are in sync for the cue I'm about to write in Logics sync settings. Once set, everything lines up perfectly when jumping around with the SPL. HOWEVER, as soon as I push play in the sequence, the movie will jump back a split second and then play, making the window burn and logics time code out of sync.
    I discovered the reason this happens is plugin delay compensation for the reverbs I have on busses (I use UAD Dream Verbs on busses to save on CPU when composing to film). When I turn off plugin delay compensation, the movie plays back perfectly in sync (no jumping back), however now all the parts that are busses to the reverbs are playing back late!
    So I'm in a conundrum. I cant turn off PDC because I use reverbs on busses, yet I cant keep it on because I cant sync to the film. I've heard from others to use movie playback from another system, but I personally don't see how this will help, because the movie will still be syncing to Logic's time code, which will tell it to start early on playback for plugin delay compensation, right?
    I dont mind the jumping back for PDC, the main problem is syncing to time code/hit points. If my SPL when play is stopped is not using plugin delay compensation, there is no way to place a marker or hit point, because whatever I see when play is stopped will be wrong on playback when PDC kicks in! I would think the only solution is logic needs to constantly use Plugin Delay compensation, even when nothing is playing back!
    Is this a problem with Logic 7 only or does it exist in 8 too? How do other sequencers use PDC? Its weird that I dont hear more people having problems with this, as right now its a guessing game scoring a film and hoping my hit points/TC will sync up!
    Thanks in advance for your help! Its greatly appreciated!
    Logic 7.2.3 OS 10.4 Dual 2.5 PPC G5

    Jonathan Timpe wrote:
    Perhaps I should just start using space designer? Does it suffer from the same PDC problems?
    I personally haven't noticed any PDC issues with Space Designer, but if you do, you could always just use platinum verb to get a little wetness to the signal.
    Perhaps I need to develop more "reverb imagination" skills and none of this would be a problem.
    This may be a dangerous suggestion, but assuming you have a decent knowledge of the sound of a real orchestra, I would tend to compose with that in mind rather than exactly what your sample library sounds like. The goal, after you've done the bulk of the composing, is to try to get your library to sound as much like the real thing as you can. Remember, it wasn't all that long ago that film scores we composed with no MIDI at all, no immediate feedback on the sound of a motive, harmony etc. Obviously, if the end product is going to be in VIs instead of live instruments, you need to keep the sound of your library in mind. But ideally, you don't rely on hearing every little thing exactly as it will be on the track in order to compose. I know that's harder than it sounds, and I too am guilty of relying a bit too much on immediate feedback, but I think it's worth while. So rather than "reverb imagination," I'd suggest developing a better "instrument imagination," and let reverb be more of a finishing touch (albeit a very necessary one).
    How do you deal with syncing back to the film? Sync pop & written time code sheet for when the pop occurs (without PDC of course)?
    My methods for syncing back to film are much more basic than that. Firstly, I'd say most of the time I'm just sending audio tracks to the director with the SMPTE for the beginning of each track. If I am actually responsible for putting them together, I've just done it in iMovie, again, lining up each track with the SMPTE code for the film.
    I should point out that I'm not exactly a pro at this (though I'm trying to get there), so someone else could probably offer better solutions.

  • Kontakt 3 as a Multi-midi instrument? How? Multi-outputs?

    I am having trouble getting Kontakt to work properly as a mutlii-output instrument with multi-midi inputs.
    There are instructions in the manual and online but I seem to have some problems.
    I create an Instrument track of Kontakt 3. I select 16 stereo output mode.
    I open Kontakt 3 and create new mixer tracks to match the 16 stereo.
    Problem 1: I cannot rename these new tracks.
    Problem 2: I cannot assign outputs that seem correct. I look at config. on the mixer channel and I get "unassigned" or "plug-in output 9, 10..."
    I go to the Logic Mixer and hit the + on the Konotakt Instrument Track and create new AUX tracks alongside the Instrument Track but this does not seem to help.
    I really can't figure how to make the midi multi instrument in Logic such that I can send different midi channels to multiple instruments in Kontakt 3.
    I create a multi instrument in Environment but where to I drag that cable to connect?
    Sorry I am so lagging in understanding this but if there are some thngs I am missing please help.
    Yes I can get a Koontakt 3 Instrument track to work and sound a single instrument but I need the multi midi and multi output option to work.
    Thanks,
    Dean

    Beatfarm wrote:
    I setup my Kontakt 3 Multiple Output Instrument in Logic Pro 8 including the Logic Aux Channels.
    This way is not so good,,, (sorry don't get me wrong )
    ... I just talking about my personal experience with Logic Pro
    I Never use Multi outs workflow in my songs
    the Multi outs strategy comes from other DAWs (expacially related with ProTools) that have hystorical limited nunbers of track in the Mixer. (or you must pay a lot of "bucks" to have 200 stereo tracks in Protools)
    In Logic you have over than 500 straight tracks/Audio and instruments + 64 and maybe more Aux Busses...
    As you can see the buss are limited related to the number of track
    My personal advice is: use buss only for reverbs, Delays, and Multi grupoed tracks... and instead to use aux for multiouts instrument use single instances...
    *about Kontkt 3 and Logic Pro there are 2 different additional working strategies*
    1) using single instances (this don't ask for more CPU power resource) but the Audio Units version of NI instruments are not so stable when used as multi instances...
    SO: Export as Audio the allready completed orchestral parts.
    Using Audio track in Logic is always a good idea because Logic Pro have 255 *Stereo Audio tracks*
    2) using Kontakt 3 as Standalone allow you to use more Ram (logic stops to work with more than 2.7GB physically adressed
    SO: you can use IacBus to route Midi signal direct to Kontakt 3
    All of the sudden I'm not able to freeze my Kontakt Multi Instrument anymore (the other tracks still show the freeze function).
    The "freeze" works only on the tracks (obviously, Freeze cannot and "will never" works on AUX/BUS) this is one of the majior reasons that makes me able to suggest you to avoid Multi Outs Instruments strategy
    What can I do to get around this problem? Please help me out!
    I can only add that is not a good idea to use Logic in the same way as Protools or Cubase
    Logic Pro is different
    you need to adapt your working in progress strategy to achieve the best result
    you have more than 500 Audio and instrument tracks...
    I suggest to use it...
    I'm sure that you never will be able to use all 500 tracks in une song... BUT LOGIC can DO THAT!!!
    I suggest to stay focused to the Logic Mixer: it is a great pieace of software thecnology... it is a REAL hardware mixer that has been ported inside the best professional Audio software...
    Logic Pro is very near to a REAL HARDWARE RECORDING STUDIO Mixing consolle ENVIRONMENT! (including Cables, Inserts and AUX capabilities)
    *about 600 Stereo Audio Channels and Aux/buss are ready to be used in Logic PRO to realize your songs!*
    if you need more than 600 stereo tracks... feel free to ask me... I will try to find a way to solve your issue
    hope this helps
    Thanks
    G

  • Can't freeze tracks on "multi output" instruments?

    Hi folks,
    Just noticed that freezing tracks on "multi output" instances of instruments (Ultrabeat, EX24) is not an option. Am I missing something here?
    Thanks!

    No, you're not missing anything, freeze doesn't work on Multi-out instruments.

  • Delay Compensation stuff in Logic 7.11

    Hi,
    As much as I love and need Logic, this one makes me sick.
    If there's DC enabled, you obviously can't do any Midi/VI-Recordings and you can't do audio recordings which should play back as you recorded them.
    How can, I almost wrote emagic, Apple release something like this and leave it in this state since 7.1? This is not acceptable for a pro app, I can't wrap around my way of doing things and first record all stuff and then turn on DC and mix ...
    Even worse, always go to the preference pane or listen if I hear some flams, to know if I'm allowed to record or not (by checking DC status).
    Logic needs, like Nuendo, a setting where all 'delaying' plugs are disabled when a track is in record mode for realtime playing. Nuendo also records in sync even when DC is turned on, this one is really not funny. At least, give as a DC-safe bus (like solo-safe) you can record through, or something ...
    Sorry for the rant, bad first post, for sure.
    All in all, I really love this stupid program!!
    cheers,
    bernd

    The lack of Automatic Delay Compensation in Logic 7 forced me to switch to Protools. There, ADC is brilliant. The days of phase problems are gone and when u hit record in protools it automatically disables ADC unless one sets the prefs to not disable. ADC in Logic is a disaster. The ADC works on some channels with certain audio hardware (not all) and doing all the work arounds every tiime is killing ones workflow. I miss logic at times but I cannot go back to using it till at least the ADC is implemented specially when working with 96 SRates. Also - but this only regards protools hardware users: ADC dont work with protools Hardware in logic 7 - ADC is totally disregarded using the DAE even though apple states that they support DAE - the do support the DAE but only like 20% of the total DAE capabilities.....this IMO is outrageous and this funny business policy cant stand tooo long!!! I am not the only one who switched and I wont be the last....
    anyway, the only way to record in logic and "bypass" ADC - is to disable adc and only use plugs that dont produce any delays in the signal flow - it's a hard pill to swollow but hey - it's the only way:-))
    Peace

  • Plugin Delay Compensation, Stylus RMX & the UAD-1

    Forgive me if this was answered previously, but I didn't really find anything when I searched the forum...
    Anyways, Stylus is all in sync when it plays, but if I add a UAD-1 plugin to it it gets delayed and doesn't sync up anymore. I have the pda setting set to all and I tried 256 and 128 as my buffer. anyone have any ideas?

    Use the delay compensation plugin on the UAD. AFAIR UAD doesn't handle latency compensation in the traditional means. (Report to host from Plugin)

  • Plugin Delay compensation not working correctly?

    Hey guys,
    I've noticed lately that the plugin delay compensation doesn't seem to be working like it should. I'm not sure if I'm going mad or not...
    When I'm using Drumagog (drum replacement plugin), Logic is very good at dealing with the latency, even when increase the accuracy (therefore usually adding more latency). However, it doesn't seem to be doing that properly and I'm not sure why.
    All my settings appear to be correct in the audio section and have checked the box to correct the latency in plugins and software instruments. My Mac is pretty snappy and can handle a lot, so the audio buffer is generally set very low at this point without adding any clicks and pops etc.
    Any ideas?

    James,
    I think you're onto something there.
    I have noticed too that the Logic 9 misbehaves from time to time when it comes to delay compensation. From my experience it happens when you create an average to large mixing project with a number of plugins on channels (possibly with settings dependent latencies).
    What I have found is:
    1. In some cases it over-compensates - that is the channel in question suddenly played ahead of the time even though visually it was aligned with the others (but in some other cases under-compensates).
    2. I have noticed that saving the channel strip settings, resetting the channel strip and reloading the same setting rectifies the delay over-compensation. To me it appears that the "delay compensation" system does not recheck/recalculate the plugins current delays after any change is made in channel/plug-in (or even adding plugins, or does it only partially) - I do suspect that it gets screwed up when the plugin changes its latency based on its settings but Logic fails to recalculate.
    As a fallback it would be extremely useful if there was an option in "Audio" sub-menu (for instance) to force Logic to re-assess (recalculate) the plugin delays (across the board) according to plugins current settings but it would be better if it detected correctly any change and recalculated it so we could rely on its correctness/integrity.
    3. With such larger projects the sound comes out noticeably later than the play-head's position is which makes it very hard to locate precisely positions in your track.
    These problems did not seem evident in Logic 8, but have to admit I haven't run as large projects in Logic 8 as I'm doing now in Logic 9 (80-100 tracks with 2-6 plugins in some channels).
    Apple, please, fix these latency compensation discrepancies/issues as it can really byte back when the customer gets back to you saying that this or that track seems to be out of sync (subtly but it is). It is unworkable to having to verify the raw recordings if the delays are in the recordings or introduced by Logic.
    Hope this info helps.
    Regards
    Gabe

  • How to put a page break when a value changes

    Hi there, I am very new to BI Publisher. I realize this is a really SIMPLE question, but I can't seem to find a CLEAR answer.
    I have a set of data that I have a repeating group for in my RTF template and it works great. But I want to have a page break each time one of the values changes and I cannot figure out how.
    here is the xml
    <?xml version="1.0" encoding="UTF-8" ?>
    <!-- Generated by Oracle Reports version 6.0.8.28.0 -->
    <PIR05>
    <LIST_G_ITEM_NO>
    <G_ITEM_NO>
    <PAGE_NO>0</PAGE_NO>
    <ITEM_NO>4242301</ITEM_NO>
    <ITEM_DESC1>13MM Aries Cell Assembly</ITEM_DESC1>
    <LOT_NO>123</LOT_NO>
    <LOT_DESC>4242301</LOT_DESC>
    <SUBLOT_NO />
    <LOCATION>2IRE</LOCATION>
    <GRADE_CODE>NONE</GRADE_CODE>
    <ITEM_UM>Each</ITEM_UM>
    <ITEM_UM2 />
    <LINE_NO>614</LINE_NO>
    <COUNT_NO>614</COUNT_NO>
    <P_ITEMUM2LABEL />
    <P_ITEMUM2DASH />
    <F_ITEMUM2>1</F_ITEMUM2>
    <P_CSITEMUM2_LABEL />
    <P_CSITEMUM2_DASH />
    </G_ITEM_NO>
    - <G_ITEM_NO>
    <PAGE_NO>0</PAGE_NO>
    <ITEM_NO>4242301</ITEM_NO>
    <ITEM_DESC1>13MM Aries Cell Assembly</ITEM_DESC1>
    <LOT_NO>1232</LOT_NO>
    <LOT_DESC>4242301</LOT_DESC>
    <SUBLOT_NO />
    <LOCATION>2IRE</LOCATION>
    <GRADE_CODE>NONE</GRADE_CODE>
    <ITEM_UM>Each</ITEM_UM>
    <ITEM_UM2 />
    <LINE_NO>615</LINE_NO>
    <COUNT_NO>615</COUNT_NO>
    <P_ITEMUM2LABEL />
    <P_ITEMUM2DASH />
    <F_ITEMUM2>1</F_ITEMUM2>
    <P_CSITEMUM2_LABEL />
    <P_CSITEMUM2_DASH />
    </G_ITEM_NO>
    <G_ITEM_NO>
    <PAGE_NO>0</PAGE_NO>
    <ITEM_NO>4242301</ITEM_NO>
    <ITEM_DESC1>13MM Aries Cell Assembly</ITEM_DESC1>
    <LOT_NO>562354</LOT_NO>
    <LOT_DESC>4242301</LOT_DESC>
    <SUBLOT_NO />
    <LOCATION>WOR RECV</LOCATION>
    <GRADE_CODE>NONE</GRADE_CODE>
    <ITEM_UM>Each</ITEM_UM>
    <ITEM_UM2 />
    <LINE_NO>603</LINE_NO>
    <COUNT_NO>603</COUNT_NO>
    <P_ITEMUM2LABEL />
    <P_ITEMUM2DASH />
    <F_ITEMUM2>1</F_ITEMUM2>
    <P_CSITEMUM2_LABEL />
    <P_CSITEMUM2_DASH />
    </G_ITEM_NO>
    </LIST_G_ITEM_NO>
    <WHSE_DESC>OPM INVENTORY ORG</WHSE_DESC>
    <CS_NODATA>617</CS_NODATA>
    <CYCLE_NO>000000014</CYCLE_NO>
    </PIR05>
    I want the g_item_no (repeating group) to have a page break each time LOCATION changes.

    You can declare two variables, to hold the previous and current LOCATION values and break the page when it differs.
    Or just before your end-for-each, have this code.
    <?if:position()!=1 and position()!=last() and LOCATION!=following::LOCATION[1]?><xsl:attribute name="break-before">page</xsl:attribute><?end if?>
    Ensure that its placed in a form field.
    This will have a page break when LOCATION changes.

  • Launchd .plist breaks when periodic daily runs

    Why does my launchd .plist break when periodic daily runs?
    I wrote a script basically like this
    <?xml version="1.0" encoding="UTF-8"?>
    <!DOCTYPE plist PUBLIC "-//Apple Computer//DTD PLIST 1.0//EN" "http://www.apple.com/DTDs/PropertyList-1.0.dtd">
    <plist version="1.0">
    <dict>
    <key>GroupName</key>
    <string>wheel</string>
    <key>Label</key>
    <string>MacPeter.thingy</string>
    <key>Program</key>
    <string>/usr/local/sbin/my_script</string>
    <key>ProgramArguments</key>
    <array>
    <string>/usr/local/sbin/my_script</string>
    </array>
    <key>StandardErrorPath</key>
    <string>/dev/null</string>
    <key>StandardOutPath</key>
    <string>/var/log/myotherlog.log</string>
    <key>UserName</key>
    <string>root</string>
    <key>WatchPaths</key>
    <array>
    <string>/var/log/my_log.log</string>
    </array>
    </dict>
    </plist>
    I had to do some of this at the command line with sudo to get this .plist file into /Library/LaunchAgents and launch it. The idea is that watches the log file
    my_log.log (which I have created) and when there is activity on this log file it runs my_script, outputting the results to myotherlog.log.
    In order to avoid my_log simply growing unmanageably I also modified the /etc/daily file to add my_log to the list of logs which are rotated daily at 3:15 a.m. At 3.15, periodic daily runs, it moves and gzips my_log.log to my_log.log.0.gz and it creates a fresh my_log.log using touch.
    At this point my .plist remains loaded but stops working: it nolonger watches the new my_log.log.
    As a workaround I have put the following lines in /etc/daily.local:
    launchctl unload /Library/LaunchAgents/MacPeter.thingy.plist
    launchctl load /Library/LaunchAgents/MacPeter.thingy.plist
    This solves the problem. My question:
    Is my workaround really necessary or am I missing some other point about
    using launchd and .plist files?
    G5 single processor   Mac OS X (10.4)  

    Just as a quick guess, I would say that nothing actually breaks, you just need to keep in mind that if you roll the log you need to also reopen the file handle that points to the log file. If you don't reopen the file it will continue to look at the old, possible unlinked file pointer until the process is restarted.
    Not having much to do with launchd I would assume that this is what is happening. You need to get it to reopen the log file after is it rolled.
    Seeya...Q

  • HT4641 after modifying a document in Pages I found that there are horizontal lines across every line break when opened and saved it in DropBox.  Does anyone know why?

    after modifying a document in Pages ON MY IPAD AIR I found that there are horizontal lines across every line break when opened and saved it in DropBox.  Does anyone know why?

    Thanks a lot for your swift response. And sorry if it was a bit too hectic to go through my detailed query (which I did because it was misunderstood when I asked previously). As I've mentioned above, I was informed that updating to 5.0.1 would '''require''' me to '''delete''' the current version and then install the new one. And doing so will involve losing all my bookmarks. I guess I should have been more specific and detailed there. By losing, I didn't mean losing them forever. I'm aware that they're secured in some place and deleting and installing the software doesn't harm its existence. What I meant that if I install the new version, I'd have to delete the old one. And after installing the new version, I'd have to transfer them (bookmarks) back from wherever they are. Get it? When it updated from 3.6.9 to 3.6.13, and from 3.6.13 to 3.6.18, I didn't need to follow that process. They were already present on their own.
    BTW, I'm having no problems with 3.6.18 but after learning about the existence of version 5.0.1, I'm a bit too eager to lay my hands over it.
    Thanks for your help; hope this wasn't extremely long.

  • 7.2 delay compensation

    Have 7.2 delay compensation in TDM?

    it passes delay to Midi clock and MTC -to midi regions : NO!!! But u can just enter a delay manually - like in logic only more precise....
    however if u monitor midi internally in protools it utilizes ADC

  • Delayed response of when moving objects in diagram and panel, happend in LV 6, only for vi's compiled from previous versions. any suggestions?

    When I using the mouse to select portion of the diagram or the panel, or when I moving objects- I found that in all the vi's that I compiled from former versions of labview- there is a delay of close to a second to the actual selection or movement of the item. This happens in 2 machines out of 5 that I tested it, and it happened both in windows 2000 and in windows 98. any suggestions?

    Sometimes a video driver issue. Update your video driver.
    Or turn down the video driver hardware acceleration. Right-click an
    empty area of the desktop, choose the Settings tab, choose the Advanced
    button.
    The location of this differs in different versions of Windows.
    Win2000: go to Troubleshooting, and turn down the slider for hardware
    acceleration.
    Win98: I think it's on another tab.
    Mark
    ilan wrote:
    >
    > Delayed response of when moving objects in diagram and panel, happend
    > in LV 6, only for vi's compiled from previous versions. any
    > suggestions?
    >
    > When I using the mouse to select portion of the diagram or the panel,
    > or when I moving objects- I found that in all the vi's that I compiled
    > from former versions of labview- there is a delay
    of close to a second
    > to the actual selection or movement of the item. This happens in 2
    > machines out of 5 that I tested it, and it happened both in windows
    > 2000 and in windows 98. any suggestions?

Maybe you are looking for