DRC warnings in LV FPGA-Modul

Hi,
I am using LV 7.1.1 with FPGA-Modul 1.1.
Last week, I started to get DRC warnings in the compiler-log:
Running DRC.
mytop_n_133762400_resVI_n_139936640_uut/B5 is not connected.
mytop_n_133762400_resVI_n_139936640_uut/B5 is not connected.
mytop_n_133763768_resVI_n_139792112_uut/B5 is not connected.
mytop_n_133763768_resVI_n_139792112_uut/B5 is not connected.
mytop_n_117965800_resVI_n_132097136_uut/B5 is not connected.
mytop_n_117965800_resVI_n_132097136_uut/B5 is not connected.
mytop_n_133765136_resVI_n_135911624_uut/B5 is not connected.
mytop_n_133765136_resVI_n_135911624_uut/B5 is not connected.
DRC detected 0 errors and 8 warnings.
Are they something I should worry about?
Any hints about how I can fix things to get rid of them?

These warnings are normal for the compile process. They indicate signals that are left unconnected within the FPGA, but that does not affect the operation or performance of your VI on the FPGA.
Christian Loew, CLA
Principal Systems Engineer, National Instruments
Please tip your answer providers with kudos.
Any attached Code is provided As Is. It has not been tested or validated as a product, for use in a deployed application or system,
or for use in hazardous environments. You assume all risks for use of the Code and use of the Code is subject
to the Sample Code License Terms which can be found at: http://ni.com/samplecodelicense

Similar Messages

  • How to create a pushbutton delay in the FPGA module in Labview 8.2

    I am a very inexperienced programmer of Labview, and I have what I thought would be a simple problem. I have a CompactRIO system that utilizes Labview 8.2 as well as RT and FPGA modules. I am running an end of line tester that uses a pushbutton to start the test. I am looking to force an operator to hold the test start pushbutton for one second before testing begins. If the pushbutton is released before the second is up, the test will not start. In the FPGA module, I cannot figure out how to accomplish this. I have tried using both flat sequence and while loops, but I cannot get the program to work as stated above. With the flat sequence there is not a way, that I could see, to break out of the sequence should the pushbutton be released. Using the while loop, I was closer to a solution, but could not get the program to read the input consistently. I did verify that the 24Vdc signal is getting to the input card whenever the pushbutton is pressed. The program worked fine without trying to add the delay when I was just looking for a transistion to 24Vdc on the input card when the pushbutton was pressed.
    Any ideas?  Thanks!

    Here's how you might do it with a button on-screen in LabVIEW for Windows.  If you need more specific help, post your code, or a small portion of it that demonstrates the bit that you can't get working.  (The snippet is in LabVIEW 2009 since that's what I'm using, but the attached VI is saved for 8.2)
    Attachments:
    Hold 1 Second.vi ‏8 KB

  • How can I install (download) a Labview FPGA code onto Altera FPGA chip using Labview FPGA module?

    Hi there
    Guys i'm a very new labview user (PhD student), my project is about ( design and implementation of a high speed-yet sophisticated system using Labview environment then install this project's code (using Labview FPGA module) onto Altera-made FPGA chip).
    so kindly, can any body help me in this?...is there any way to connect labview with Altera FPGA?...please anything would be said 'd be of great benifits
    thanks a lot in advance.

    as previously mentioned, labview fpga only supports national instruments targets utilizing xilinx fpgas. the hdl generated by labview fpga is encrypted and cannot be used to synthesize a design outside the labview fpga design flow. 
    however, if you must use labview for your project, it might be possible for you to design the system in labview and use one of the labview embedded modules ( http://www.ni.com/embedded )to generate c code which you can then port to systemc and compile for the altera fpga. i'm not saying it will be easy, but it should be possible. 

  • How to release a transport request with warnings using a function module?

    Hi,
    I want to release a transport request(which has some warnings) using some function module .
    The warnign that i get when i try to release the Transport Request manually is "not all objects could be locked..."
    Which function module can I use so i can release such a transport request?
    I am currently using TR_RELEASE_REQUEST but I am unable to release the TR, it throws an exception.
    Also i wouls assume that the function module mentioned would take care of releasing all the unreleased task
    under the request.
    Regards,
    Bikash.

    Hello Bikash
    As an alternative (to cope with the warnings) you may use TRINT_RELEASE_REQUEST.
    However, since this fm offers only a single task/request as IMPORTING parameter you need to take care about unreleased tasks yourself. Looking at SE09/SE10 even there you do not have the option the release a request including all its tasks.
    Regards
      Uwe

  • Labview fpga module 8.6

    I want use labview FPGA modul 8.6 can somebody help me to dowlaond this modul thanks

    Hi scream,
    It would best contact your account representative within National Instruments, they will be able to determine how to best deliver this module to you.  Thanks!
    Matt S.
    Industrial Communications Product Support Engineer
    National Instruments

  • Integrating fpga module with standard block diagram

    Hi all,
    I'm doing an FTIR multitouch table, and I would like to be able to track blobs using LabVIEW then transfer this data to a Spartan 3e FPGA.  I have seen the tutorials on how to program the Spartan 3e and have direct communication with LabVIEW, but can I also run image processing via USB-IMAQ VIs in the same block diagram?  Basically I need to get coordinate data from the blobs (touch points on the screen) and transfer this data to an FPGA which will act as a primitive graphics card.  Any ideas are appreciated.
    Thanks...

    Zzzyzx,
    Hello! You should be able to use your Host VI to talk to Both the FPGA and the USB-IMAQ devices. You would just have two loops running at the same time, one for each device's communication. To pass data between the loops (from the vision to the FPGA) you could use a Consumer Producer Architecture.
    I assume you are referring to these tutorials?
    Using the Xilinx Spartan 3E XUP Hardware with LabVIEW FPGA
    Using LabVIEW FPGA with the Xilinx SPARTAN-3E XUP Video Tutorial
    LabVIEW FPGA Module Training for Xilinx Spartan 3E XUP Hardware
    Ben Sisney
    FlexRIO V&V Engineer
    National Instruments

  • Accessing the 40MHz clock on the PXI-7831R FPGA Module

    How do you access the 40MHz clock on the PXI-7831R FPGA Module?
    I'm trying to the FPGA to do RS-422 communication with UART and HDLC protocols. I want to divide the clock signal to generate the necessary baud rates. I've downloaded the FPGA serial examples as a start, but I'm looking for a direct connection to the 40MHz signal.
    I'm Using LabVIEW 7.1 and FPGA Module 1.1 with the LabVIEW Embedded Project Structure.

    settlesj,
    If you are looking to have access to a 40MHz digital signal,  it is possible to generate and acquire one using 7831R and LabVIEW FPGA module.  To do this you would need to take advantage of the different compile speeds available with the FPGA module. The available compile clock frequencys are: 40MHz, 80MHz, 120MHz, 160MHz, 200MHz
    For example to generate a 40MHz pulse train you could compile with 80MHz clock frequency (2 clock pulses are needed for a square wave period).
    You will have to be careful when generating code because compiling with a clock frequency higher than the default one (40MHz) could give compile errors in some cases. These errors could happen more frequently if the code is more complex and needs more time to be executed.
    I have attached an example program that generates a 40 MHz signal on a digital line.  From there you could divide down the clock rate to which ever specified baud rates you needed.  As a side not the LabVIEW FPGA 8.0 Module added the ability to derive additional clocks from FPGA base clocks in a LabVIEW project.  Hope this helps.
    Steven B.
    Attachments:
    Test40MHz.zip ‏57 KB

  • Labview fpga module Spartan 6 LX9 FPGA

    Does Spartan 6 LX9 FPGA  supported in labview FPGA module ?

    varunme,
    The only FPGA targets that can be programmed with LabVIEW FPGA are National Instruments FPGA products (cRIO, sbRIO, R-Series, FlexRIO, etc) and the Xilinx Spartan 3E XUP board. Unfortunately, LabVIEW FPGA doesn't support third party devices containing the LX9 FPGA.
    -Nick-
    Nick C | Staff LabVIEW Platform Product Support Engineer | National Instruments

  • Can LabView FPGA module work with non-NI FPGAs?

    Simple Question: Can the LabView FPGA Module work with other FPGAs? Im assuming yes, but id like ot make sure first. Normaly I go with NI for most of my stuff, but they just are lacking in the FPGA hardware for what I need, but Im accustumed to LabView so I would like to stick with that to program it.
    Thanks!
    -Mark

    Using LabVIEW and LabVIEW RT you can access the registers on any PCI/PXI card using the NI-VISA driver. NI-VISA also allows you to handle interrupts and DMA data. For interrupts you will not actually write an interrupt service routine (ISR) in LabVIEW. Instead the VISA driver will acknowledge the interrupt to the card and then pass a VISA event to the LabVIEW application letting the application know that it needs to process the interrupt. Detailed information about this type of programming is available in the following documents.
    Using the VISA Driver Development Wizard and NI-VISA to Register-Level Program a PXI/PCI Device unde...
    Configuring LabVIEW Real-Time and NI-VISA to Recognize a Third Party Device
    Configuring LabVIEW Real...
    Developing a LabVIEW Real-Time Driver for a PXI or Compact PCI Device
    Porting a Windows PCI Device Driver to LabVIEW Real-Time
    Considerations in Implementing LabVIEW Real-Time Drivers
    Christian L
    Christian Loew, CLA
    Principal Systems Engineer, National Instruments
    Please tip your answer providers with kudos.
    Any attached Code is provided As Is. It has not been tested or validated as a product, for use in a deployed application or system,
    or for use in hazardous environments. You assume all risks for use of the Code and use of the Code is subject
    to the Sample Code License Terms which can be found at: http://ni.com/samplecodelicense

  • Crash at compilation/Intermediate files generation LV FPGA module

    Hi.
    I'm in desperate need of assistance.
    I have been using LabVIEW 8.6 with FPGA module running at Win XP for som time now and I have never encountered any crash while compiling before.
    I get one of those Error messages where I just can send an error report to Microsoft.
    I use the FPGA target RIO0, PCI-7833R and have designed a FPGA interface-VI including a number of functions. When I right-click at the VI and choose Compile, generation of Intermediate files begins. Now I never get further than the before LV crashes. Each and every time.
    I have no problems opening the project file or my FPGA VI, updating them or saving them.
    Grateful for help. Thanks!
    /Henrik

    Hi!
    Thanks again for good ideas. Unfortunately it didn't help repairing. I tried with both FPGA Module and the FPGA compile server (even though the compilation process never reaches that far) without success. I even tried to repair the whole LabView 8.6 but with the same result.
    Even before repairing I have been able to make another small VI work its way through the whole compilation without crashing. But when I replace the small VI with the previously "failing" VI, it crashes again. I have also tried to cut away most of the functions of the VI but it still crashes.
    Is it possible that I have some kind of bad reference, some missmatch when instanciating and mapping any of the VHDL nodes or something like that that causes the crash? Still one would think that this should generate an error in LabView rather than generating a "windows crash", but maybe something can cause the file generator to fail completely. It has been working before and I did a very small change when the crashes started, but something is obviously wrong, so...
    Since its crashing even before connecting to the compile server, do you think I can rule out any damage/failing on any of the HW (e.g. the National specific HW and my external board and the on-board FPGA? Since I'm running out of ideas myself I guess I could look into that as well, but I can't see that that's the problem.
    BR
    /Henrik

  • FPGA module unzip problem

    I downloaded the FPGA module into a controler of a PXI, running XP.  When I tried to unzip it, the upzip operation would fail everytime.  What is causing this?  Is there some kind of requirement to unzip this?  Harddrive size requirement?
    Kudos and Accepted as Solution are welcome!
    Solved!
    Go to Solution.

    Thanks for helping.  I found out what was the problem.  I downloaded the FPGA module through the link below.  When I tried to unzip it, I would use the default path.  Apparently, the default path has a space at the end of its 2nd folder, counting from the end of the path.  This is a small bug from NI, but it needs to be fixed.  It is a hard problem to catch, since I didn't expect something like that to happen. 
    https://lumen.ni.com/nicif/us/evallvuser/content.xhtml
    Kudos and Accepted as Solution are welcome!

  • Is FPGA module option absolutely needed to deploy a RIO system?

    Is FPGA module option absolutely needed to deploy a RIO system? I do not believe that I need to customize any of my I/O or go beyond the vi's already available in LV development suite and Real-Time module option.

    You don't need the LabVIEW FPGA Module to simply deploy an existing RIO configuration (bitfile). You can do that simply with LabVIEW, LabVIEW Real-Time, and the NI-RIO driver. You only need the FPGA module if you want to compile a new FPGA personality into a bitfile to do your IO. If you already have a bitfile that fits your needs, you don't need the FPGA module to deploy and run it.
    For instance, the RIO driver probably installs a few simple examples that use interrupts and DMA to send data back to the host for various targets. It could be that one of these example bitfiles fits your needs if your requirements aren't too elaborate.
    Just trying to clarify the issue here... And what I'm talking about has nothing to do with NIWeek or whatever everyone else is posting about.
    Jarrod S.
    National Instruments

  • FPGA Module F1 patch update failing installation

    NI's update service informed me that there is an urgent patch available for the FPGA module I recently installed.  When I run the installer, I get a message that the 2012 FPGA module must first be installed for the update and the installer exits.  The 2012 FPGA module is installed and activated according to the NI License Manager and FPGA tools are available on the pallettes.  The path to my install directory is default (C:\Program Files (x86)\National Instruments\..) and I have rebooted since the installation.  Any ideas?

    Hi nlight,
    Could you try to download it and install it manually from this link.
    Carmen C

  • LabVIEW FPGA: An internal software error in the LabVIEW FPGA Module has occurred

    Hi,
    I am trying to build a LabView FPGA VI. During compilation, I always get error stating "LabVIEW FPGA:  An internal software error in the LabVIEW FPGA Module has occurred.  Please contact National Instruments technical support at ni.com/support".  At the time, comiplation process is almost at the end.  "Final Timing (place and route)" shows as completed. When Checked the Xilinx log session, at the end it states that "  Process "Generate Programming File" completed successfully". However, I do not see any generated bitfile.
    When clicked on error details, it shows following: 
    LabVIEW: An unknown error occurred.
    Error -2629 occurred at Error occurred while loading XML string. Invoke Node in niFpgaXml_PrettyPrint.vi->niFpgaWriteBitfileXml_Core.vi->niFpgaCompileWorker_CreateBitfile.vi->niFpgaCompileWorker_JobComplete.vi->niFpgaCompile_Worker.vi:6460001
    Possible reason(s):
    LabVIEW: An unknown error occurred.
    I tried multiple times but so far no luck to generate bitfile for this VI.  What could be wrong here?
    I am using Labview 2013

    Hi Mark,
    Here is the device utilization Summary. My development system is running with Windows-7 (32 bit) with 3GB RAM and Labview 2013. 
    Device Utilization
    Total Slices: 90.0% (11970 out of 13300)
    Slice Registers: 40.7% (43258 out of 106400)
    Slice LUTs: 71.6% (38103 out of 53200)
    DSP48s: 1.4% (3 out of 220)
    Block RAMs: 22.1% (31 out of 140)
    Timing
    40 MHz Onboard Clock
    : 40.00 MHz (57.90 MHz maximum)
    80MHz (Used by non-diagram components)
    : 80.00 MHz (100.19 MHz maximum)
    --WillsG

  • Labview 2010 Student Edition, will it work with the Labview FPGA module and Xilinx Spartan 3E??

    Just as the title states.
    I did a search here on compatibility, but I am coming up short with not much to show for it.
    I have Labview 2010 student edition, 32bit and 64 bit. I am trying to do a Senior Design project for my undergrad, and I am having some issues.
    I was able to download the Support for the Xilinx Spartan 3E and Labview FPGA.
    I install it, it runs through the unzipping process, installs, and then mysteriously I cannot find it. I have looked through my programs list and it is not listed. I open both editions of LabView and I find ABSOLUTELY NOTHING indicating that either one has ANYTHING to do with FPGA.
    Did I install it wrong? Or is it just not supported in the studend version of Labview???
    Can someone help me, please?

    M Boat wrote:
    What about the trial version? Any chance of it being on there?
    I think everything is available for download, and without activation it will be in trial mode and you have about a month (?) before the trial expires.
    A trial version of the FPGA module is available for download here. I have no idea if it would work with the student version.
    I would recommend to talk to your local NI sales representative for advice. Good luck.
    LabVIEW Champion . Do more with less code and in less time .

Maybe you are looking for

  • Remote app on iPod touch and iPhone will not pair with Apple TV

    I have an iphone 3G and ipod touch with the remote app installed. I am unable to pair either with my ATV. When I go to remotes on my ATV and remote app on either ipod or iphone there does not appear to be an option to control apple tv.

  • Removing 3rd party loops from GB

    I've added a folder of loops to my GB loop library by dragging and dropping the folder onto the loop window. I really hate these loops and now want to remove them (i now realise i should have auditioned them before adding), but can't seem to work out

  • Ipad mini syncing issues with itunes : (x) items could not be synced

    I'm using ipad mini (non retina) 16GB with itunes 11.2.2.3. I had downloaded some games from itunes like asphalt 8, GT racing 2, infinity blade, real racing 3, dead trigger 2, and apps like garageband. When i tried to sync these games with my ipad. e

  • A non-obsoleting multiversioning package management filesystem.

    I found this in another topic (http://bbs.archlinux.org/viewtopic.php?id=56108), and found it very interesting, since I have conspired to do the same thing myself. The question that has always kept my attention is, "how to eliminate packages from bre

  • Proxy - File Adapter - "Still awaiting acknowledgment"

    Hi, I have a "Proxy -> BPM -> File" scenario and have configured acknowledgment. When the data is sent from proxy and written to file without errors I get the correct acknowledgment back to the R3 system. But when the file can't be written (FTP cant