FPGA compilation error 1026 using LV2011SP1

I just upgraded to LabVIEW 2011SP1 and I cannot get a PCI-7831R to compile.  In addition to LV2011SP1, I have the following installed:
 - FPGA (Version 11.0.1)
 - NI-RIO (Version 4.01)
 - Xilinx Tools (10.1)
I have a very simple VI that just has a Boolean control on the front panel sending a value to an FPGA I/O on the block diagram.  I have created/compiled more complex VI's using LabVIEW 2010 without any issues.  My issue with 2011SP1 is that once the intermediate files have been generated, a Code Generation Error screen pops up with Internal Errors.  It says
Error 1026 occurred at an unidentified location
Possible Reason(s):
LabVIEW: VI reference is invalid
I'm not sure what VI reference it is referring to.  I have tried to compile this just as I have in the past, but to no avail.  I have removed previous versions of LabVIEW from my machine and installed/re-installed the LabVIEW software and other modules from the distribution DVD's.  It seems as though I always get into trouble when trying to upgrade the NI software. 
Is there something that I am missing.  I get the same message when trying to compile the examples that are included with the installs.  I know that my R-Series card is installed and working properly because I can run sequences via TestStand that call VI's I created using LV2010.  Any help would be appreciated!
Attachments:
ErrorWindow.jpg ‏40 KB

Hey Josh,
Thanks for responding.  Late friday I added the LabVIEW real time drivers and was able to compile this once earlier this morning with no errors.  I then made a small changes (by putting the Boolean control in a while loop) and tried to compile again.  Now I keep getting various Xilinx compile errors.  Starting to get frustrated since the errors vary slightly every time I try to compile.  I will attach a couple of screen shots as well as a zip file that contains the project and VI that I am trying to compile.
Attachments:
tryout.zip ‏26 KB
Screen_Capture02 Apr. 02 12.27.jpg ‏87 KB
Screen_Capture03 Apr. 02 12.30.jpg ‏55 KB

Similar Messages

  • Getting Compilation error when used SET or MULTISET operator on nested tabl

    Dear All,
    I am getting Compilation error when used SET or MULTISET operator on nested tables inside a procedure.
    This is working fine in other DB installations of 10g but does not work in another 10g DB.
    it says "wrong number of parameter or datatype used in SET"
    Can any one suggest what went wrong here?
    Thanks in advance.

    Can any one suggest what went wrong here?Only if you would post the query and Oracle versions on both databases.
    Besides, this forum deals with issues in Oracle product installation. So post this query in SQL PL/SQL forum for better response.

  • Compilation error when used SET or MULTISET operator on nested tables

    Dear All,
    I am getting Compilation error when used SET or MULTISET operator on nested tables inside a procedure.
    This is working fine in other DB installations of 10g but does not work in another 10g DB.
    it says "wrong number of parameter or datatype used in SET"
    Can any one suggest what went wrong here?
    Thanks in advance.

    Hi,
    Thanks for ur reply...
    Since MULTISET and SET operators are the new additions in base 10g release for manipulation of nested tables data, I am surprised that same is working in similar 5 DBs installations with 10.2.0.1.0 version, but does not work in the sixth.
    SET and MULTISET operators are used inside the PL/SQL procedure which is getting compiled in the above mentioned 5 DBs but not in sixth DB.
    it gives
    On line: 3112
    PLS-00306: wrong number or types of arguments in call to 'SET'
    Hope this clarifies the issue...

  • FPGA Compile Error due to error in mapping process

    Received the following error while trying to compile a FPGA VI on a PC. (Refer to attachment for details). My PC has a fresh installation of English Windows 2000 with sp4. No other software is installed except LabVIEW 8.2 & FPGA Module 8.2 & NI-RIO.
    I have checked this KB and confirmed that regional settings are English. But the error still exists. I tried compiling the same VI on my laptop with Windows 2000 sp4 and it was successful. Can someone help me? Thank you very much!
    FPGA Compile Error When Compiling LabVIEW FPGA VI
    Error found in mapping process, exiting...
    Errors found during the mapping phase.  Please see map report file for more
    details.  Output files will not be written.
    Design Summary
    Number of errors   :  17
    Number of warnings :   8
    ERROR:Xflow - Program map returned error code 2. Aborting flow execution...
    Message Edited by maimai on 01-08-2007 01:00 AM
    Attachments:
    FPGA Compile Error.txt ‏8 KB

    Hello,
    The key will of course be to isolate differences between your machines.
    I wonder if the following more specific language setting could be the lingering problem.  LabVIEW is a non-unicode program, and there is a language setting in Windows (at least XP) specifically for non-unicode programs.  Try the following (or it's Win2K equivalent) if you haven't already:
    0. Open "Control Panel"
    1. Open the "Regional and Language Options"
    2. On the Advanced tab, choose English (United States) from the drop-down menu under the top section "Language for non-Unicode Programs"
    - This language setting is different from the setting on the "Regional Options" tab. 
    Any other differences you can isolate would be potentially insightful - if you have the same software versions installed in the same order on both machines, we may be looking for something a bit subtle, such as the suspected language setting.
    Best Regards,
    JLS
    Best,
    JLS
    Sixclear

  • FPGA Compile error - Actual of formal out port cout cannot be an expression

    Details:
    ERROR:HDLCompiler:192 - "C:\NIFPGA\jobs\BPO5kq2_O6tyN2U\OC4_Sine_Cosine_LUT_Constant_Amplitude_dash_optimised_vi_c.vhd" Line 1408: Actual of formal out port cout cannot be an expression
    ERROR:HDLCompiler:854 - "C:\NIFPGA\jobs\BPO5kq2_O6tyN2U\OC4_Sine_Cosine_LUT_Constant_Amplitude_dash_optimised_vi_c.vhd" Line 69: Unit <vhdl_labview> ignored due to previous errors.
    VHDL file C:\NIFPGA\jobs\BPO5kq2_O6tyN2U\OC4_Sine_Cosine_LUT_Constant_Amplitude_dash_optimised_vi_c.vhd ignored due to errors
    -->
    The compilation gets to the "Estimated device utilisation" stage but then stops shortly after with a compilation error.
    The Line in question (1408) relates to the output of a "Reinterpret FXP" node with the text
    cOut => (others => '0'),
    in the port map portion of the code.  This corresponds to the output of the FXP reinterpret node being directly connected to an indicator in a sub VI whose output is then input directly to a high thoughput multiply node.  The code is part of a sinus cosinus LUT I have programmed.  It used to compile no problem but I think I know where the problem is.  In one instance I only utilise the Sinus output of the algorithm and theoretically, Xilinx can optimise away the Cosinus part.  I have two instances of this VI in my code and looking at the one NOT generating errors, the output is associated with a Cosinus indicator.
    cOut => s_Cosine_2434,
    It would seem that the pathway is essentially optimised away but the Xilinx compiler has a problem with the indicator being present on the sub-VI but the idnicator not being utilised anywhere.  As such, the cOut gets set to an invalid value.  I assume the immediate proximity of the FXP Reinterpret to the output of the sub-VI is an important aspect of this problem.
    I think I know enough now to fix this problem (manually remove the path by duplicating the sub-vi) but this is perhaps a useful feedback for future bugfixes in the FPGA module.  This isn't the first time this kind of incorrect code removal has given me problems but it's the first time I've been able to clearly locate the problem.
    Shane
    Say hello to my little friend.
    RFC 2323 FHE-Compliant
    Solved!
    Go to Solution.

    I am currently attempting a compile after changing some things.
    Just a side question.  Is this particular to the Reinterpret node or are other "pink nodes" also affected by this?  If I don't connect the output of a high throughput add, will it result in the same behaviour?
    PS OK, it seems to be compiling now.  I managed to juggle around the nodes a bit in my sub-VI to make sure the "reinterpret" is not the last node before the indicator.  It seems to be compiling now.  A question which is in my head at this time is: Does the "reinterpret" node prevent anything before it from being optimised away by the Xilinx compiler?  Are there other nodes which cannot be removed, even if the outputs are not being used?  This would immediately seem to suggest to me that such nodes need to be as close to the source as possible in order to reduce the amount of code which cannot be removed as "dead code" during the Xilinx compile process.
    Say hello to my little friend.
    RFC 2323 FHE-Compliant

  • FPGA: compilation error: size of concat operation is different than size of the target

    Today I got an error, for which I couldn't find a solution.
    I use the PXI-7813R FPGA, with Xilinx tools 10.1
    At compilation, the error I get is:
    Compilation failed due to a Xilinx error.
    Details:
    ERROR:HDLParsers:804 - "C:/NIFPGA/jobs/TESY1S8_X4PR8hn/NiFpgaAG_000000ce_CaseStructureFrame_0000.vhd" Line 301. Size of concat operation is different than size of the target.
    ERROR:HDLParsers:804 - "C:/NIFPGA/jobs/TESY1S8_X4PR8hn/NiFpgaAG_000000ce_CaseStructureFrame_0000.vhd" Line 372. Size of concat operation is different than size of the target.
    --> 
    Total memory usage is 185944 kilobytes
    Number of errors   :    2 (   0 filtered)
    Number of warnings :    0 (   0 filtered)
    Number of infos    :    0 (   0 filtered)
    Process "Synthesis" failed
    Start Time: 18:25:26
    End Time: 18:28:54
    Total Time: 00:03:27
    What can cause a concat size difference?

    This is by the way the configuration:
    Project: FPGAWrapperMG100125AOD.lvproj
    Target: FPGA Target (RIO0, PXI-7813R)
    Build Specification: fpga_integrator_AOD_random_access
    Top level VI: fpga_integrator_AOD_random_access.vi
    Compiling on LabVIEW FPGA Compile Cloud Service
    Compilation Tool: Xilinx 10.1
    Start Time: 05.07.2011 19:06:12
    Run when loaded to Fpga: FALSE
    Xilinx Options
    Design Strategy: Custom
    Synthesis Optimization Goal: Area
    Synthesis Optimization Effort: Normal
    Map Overall Effort Level: Default Xilinx setting
    Place and Route Overall Effort Level: High
    JobId: FNW72uPWorking Directory: C:\NIFPGA\compilation\FPGAWrapperMG100_FPGATarget_fpgaintegratorAO_9D5B4237
    The Xilinx log is attached.
    Attachments:
    XilinxLog.txt ‏80 KB

  • Labview 2011 FPGA Compile Error

    Hi,
    I'm new to FPGA. I want to use Labview 2011 SP1 with the Spartan 3E starter kit from Xilinx (Spartan 3E driver available from NI labview website).
    I'm trying to work my way through the examples that came with the driver. I've run into the same compiler error with a number of the examples. The error is attached.
    Error 7 occurred at Read from Text File in niFpgaCompileWorker_CheckForErrors.vi->niFpgaCompileWorker_JobComplete.vi->niFpgaCompile_Worker.vi:1
    Possible reason(s):
    LabVIEW:  File not found. The file might have been moved or deleted, or the file path might be incorrectly formatted for the operating system. For example, use \ as path separators on Windows, : on Mac OS X, and / on Linux. Verify that the path is correct using the command prompt or file explorer.
    C:\NIFPGA\compilation\Shift_FPGATarget_Shift_87E8371C\Spartan3EStarter.bld
    I've checked the registry to ensure that the path to the compiler is correct.
    Any assistance would be welcome.
    Regards,
    James.
    Attachments:
    Labview2011_FPGA_CompileError.JPG ‏96 KB

    Hi,
    I don't have any Xilinx tools installed - other than the ones that installed with the Labview FPGA module.
    I have attached the compilation logs and output results from my attempt.
    I have renamed the extension on the following files from .lvtxt to .txt
    BuildResults.txt
    CodeGenerationResults.txt
    Regards,
    James
    Attachments:
    BuildResults.txt ‏5 KB
    XilinxLog.txt ‏42 KB

  • Crio FPGA Compiling error

    Hello All,
    I've run into a compiling error that has me stumped. I'm using labview 8.5.1, NRIO 2.4.0, and FPGA 8.5.1 on a CRIO-9014 & 9104. When compiling the code attached I get the following error:
    Analyzing generic Entity <bushold> in library <work> (Architecture <rtl>).
    ERROR:Xst:807 - "C:/NIFPGA85/srvrTmp/LOCALH~1/PLANEV~1/bushold.vhd" line 1541: arguments of 'or' operator must have same lengths.
    -->
    Total memory usage is 546644 kilobytes
    Number of errors   :    1 (   0 filtered)
    Number of warnings :  540 (   0 filtered)
    Number of infos    :    2 (   0 filtered)
    ERROR:Xflow - Program xst returned error code 6. Aborting flow execution...
    My best guess is this error has something to do with the metafiles generated by labview before final compilation into the FPGA bitstream. I've tried tweaking the code here and there and haven't found the source of the error yet. I'm going to go through with disable blocks and see where the error lies.
    Anyone else run into something like this? I could use some help.
    Attachments:
    FPGA Code.zip ‏1354 KB

    Hi mmalluck,
    Clusters of 1D arrays are supported in LabVIEW FPGA.  I was able to compile a small VI with code similar to yours - a for loop with four autoindexed tunnels bundled into a cluster. 
    I am not entirely sure why separating the arrays eliminated that error, but I am glad to hear that your program compiles now. 
    Jennifer R.
    National Instruments
    Applications Engineer

  • Compiler error when useing switch statements in an inner class

    I have defined several constants in a class and want to use this constans also in an inner class.
    All the constants are defined as private static final int.
    All works fine except when useing the switch statement in the inner class. I get the compiler error ""constant expression required". If I change the definition from private static final to protected static final it works, but why?
    What's the difference?
    Look at an example:
    public class Switchtest
       private static final int AA = 0;     
       protected static final int BB = 1;     
       private static int i = 0;
       public Switchtest()
          i = 0; // <- OK
          switch(i)
             case AA: break; //<- OK, funny no problem
             case BB: break; //<- OK
             default: break;
      private class InnerClass
          public InnerClass()
             i = 0; // <- OK: is accessible
             if (AA == i) // <- OK: AA is seen by the inner class; i  is also accessible
                i = AA + 1;
             switch(i)
                case AA: break; // <- STRANGE?! Fail: Constant expression required
                case BB: break; // <- OK
                default: break;
    }Thank's a lot for an explanation.

    Just a though:
    Maybe some subclass of Switchtest could decalare its own variable AA that is not final, but it can not declare its own BB because it is visible from the superclass. Therefore the compiler can not know for sure that AA is final.

  • Compiler errors when using dynamic text in a class

    I have created a MovieClip with a custom class that has a dynamic text field (called "textArea"), which I want to modify by the Component Inspector, as well as via a custom method using:
    textArea.text = newText;
    It works fine in all cases and there are no problems, but the compiler still wants to give me the error:
    1120: Access of undefined property textArea.
    The error doesn't appear when I remove the component parameters (so that it's just a normal MovieClip). It still works, even with the error, so I'm not sure why it wants to complain. Am I doing something wrong or is it just being bitchy?

    By defining them in the class and using the class name under component definition:
    [Inspectable(name="Text", type=String, defaultValue="")]
    public function set text(setText:String)
         textArea.text = setText;
    public function get text():String
         return textArea.text;
    A problem I run into is that the compiler errors prevent the parameters from being defined so I comment out every line that has to do with textArea, define the component, then uncomment them so that it'll work when it runs.

  • Compile errors when using stlport4

    Hi,
    I have a large code that I am compiling using SunStudio12 and stlport4 (that comes with the compiler).
    It has other libraries (ACE, boost, in-house libs) that we link it with. All of these libs were built using the SunStudio12 and stlport4. When I am linking with my program, I get the following errors. Can you pls explain why?
    Linking CXX executable AgoraConnectionTest
    cd /home/sherugu1/ndev/HermesAPI/trunk/HermesCpp/hermes/Tests/AgoraConnectionTest && /home/sherugu1/cmake-2.6.2-SunOS-sparc/bin/cmake -E cmake_link_script CMakeFiles/AgoraConnectionTest.dir/link.txt --verbose=1
    /home/cdev/SunStudio12/SUNWspro/prod/bin/CC -g -KPIC -m32 CMakeFiles/AgoraConnectionTest.dir/AgoraConnectionTest.cpp.o CMakeFiles/AgoraConnectionTest.dir/TISTest.cpp.o CMakeFiles/AgoraConnectionTest.dir/TSSTest.cpp.o CMakeFiles/AgoraConnectionTest.dir/process_response.cpp.o -o AgoraConnectionTest -L/home/cdev/pkgs/boost_1_36_0_other/Sol10_Sparc_SS12_STLPort4_32bit/lib -L/home/cdev/csfb/gmr/10.0.1/gmr/solaris10_32bit_SS12/lib -L/home/cdev/pkgs/ace/ACE-5.6-Solaris10_SS12/ACE_Wrappers/lib -L/home/sherugu1/ndev/HermesAPI/trunk/HermesCpp/hermes/api/ems/solaris10_32bit_SS12/lib -L/home/sherugu1/ndev/HermesAPI/trunk/HermesCpp/hermes/api/solaris10_32bit_SS12/lib -L/home/sherugu1/ndev/HermesAPI/trunk/HermesCpp/Cadre/solaris10_32bit_SS12/lib -L/home/cdev/pkgs/ems/solaris/4.4.1/clients/c/lib -L/home/cdev/SunStudio12/SUNWspro/prod/lib/stlport4 -Bstatic -lapiD -lNOTIFg -Bdynamic -lACE -Bstatic -lcadreD -ltibems -Bdynamic -lboost_unit_test_framework-sw-mt-1_36 -lboost_date_time-sw-mt-1_36 -lboost_thread-sw-mt-1_36 -lstlport -R/home/cdev/pkgs/boost_1_36_0_other/Sol10_Sparc_SS12_STLPort4_32bit/lib:/home/cdev/csfb/gmr/10.0.1/gmr/solaris10_32bit_SS12/lib:/home/cdev/pkgs/ace/ACE-5.6-Solaris10_SS12/ACE_Wrappers/lib:/home/sherugu1/ndev/HermesAPI/trunk/HermesCpp/hermes/api/ems/solaris10_32bit_SS12/lib:/home/sherugu1/ndev/HermesAPI/trunk/HermesCpp/hermes/api/solaris10_32bit_SS12/lib:/home/sherugu1/ndev/HermesAPI/trunk/HermesCpp/Cadre/solaris10_32bit_SS12/lib:/home/cdev/pkgs/ems/solaris/4.4.1/clients/c/lib:/home/cdev/SunStudio12/SUNWspro/prod/lib/stlport4
    ld: warning: symbol `std::locale::facet::__vtbl' has differing sizes:
    (file /home/cdev/pkgs/boost_1_36_0_other/Sol10_Sparc_SS12_STLPort4_32bit/lib/libboost_date_time-sw-mt-1_36.so value=0x14; file /home/cdev/SunStudio12/SUNWspro/prod/lib/stlport4/libstlport.so value=0xc);
    /home/cdev/pkgs/boost_1_36_0_other/Sol10_Sparc_SS12_STLPort4_32bit/lib/libboost_date_time-sw-mt-1_36.so definition taken
    ld: fatal: symbol `void std::vector<bool>::resize(unsigned,bool)' is multiply-defined:
    (file CMakeFiles/AgoraConnectionTest.dir/process_response.cpp.o type=FUNC; file /home/cdev/SunStudio12/SUNWspro/prod/lib/sparc/libCstd.a(vecbool.o) type=FUNC);
    ld: warning: symbol `std::cout' has differing sizes:
    (file /home/cdev/SunStudio12/SUNWspro/prod/lib/stlport4/libstlport.so value=0x68; file /home/cdev/SunStudio12/SUNWspro/prod/lib/sparc/libCstd.a(ios.o) value=0x60);
    The list of errors goes on like this in multiple places.
    To me, it looks like I am using both stlport and standard STL. How do I tell the linker to use only stlport? Am I missing something?
    CC_FLAGS are: -DSOLARIS -DPOSIX_PTHREAD_SEMANTICS -D_VMT -DT_SUN4_SOLARIS -DUNIX -KPIC -DACE_NDEBUG -D_ACE_56_ -D_REENTR
    ANT -DACE_NDEBUG -D_PTHREADS -mt -library=stlport4
    Pls help!
    Rgds,
    Sreeni

    The links are there, but not pointing to /usr/lib. Yes, I installed the tarball into /home/cdev/SunStudio12 directory. I dont have root privileges to install any other way. To avoid the delay and the paper work it takes to get the SA install the compiler, I just used to tarball since the Sun website said it was OK to do that. Pls advise.
    This is what I have in the directory you mentioned.
    vpns36x-0001:/home/cdev/SunStudio12/SUNWspro/lib>ls -altr *so
    lrwxrwxrwx 1 sherugu1 cdev 14 Dec 4 11:31 libCrun.so -> ./libCrun.so.1
    lrwxrwxrwx 1 sherugu1 cdev 28 Dec 4 11:31 libCstd.so -> ../prod/usr/lib/libCstd.so.1
    lrwxrwxrwx 1 sherugu1 cdev 18 Dec 4 11:31 libiostream.so -> ./libiostream.so.1
    lrwxrwxrwx 1 sherugu1 cdev 24 Dec 4 11:31 debugging.so -> ../prod/lib/debugging.so
    lrwxrwxrwx 1 sherugu1 cdev 34 Dec 4 11:31 rtcaudit.so -> ../prod/lib/dbxruntime/rtcaudit.so
    lrwxrwxrwx 1 sherugu1 cdev 38 Dec 4 11:31 libdbx_agent.so -> ../prod/lib/dbxruntime/libdbx_agent.so
    lrwxrwxrwx 1 sherugu1 cdev 13 Dec 4 11:31 libV77.so -> ./libV77.so.3
    lrwxrwxrwx 1 sherugu1 cdev 19 Dec 4 11:31 libf77compat.so -> ./libf77compat.so.1
    lrwxrwxrwx 1 sherugu1 cdev 13 Dec 4 11:31 libfai.so -> ./libfai.so.3
    lrwxrwxrwx 1 sherugu1 cdev 14 Dec 4 11:31 libfai2.so -> ./libfai2.so.3
    lrwxrwxrwx 1 sherugu1 cdev 17 Dec 4 11:31 libfmaxlai.so -> ./libfmaxlai.so.1
    lrwxrwxrwx 1 sherugu1 cdev 17 Dec 4 11:31 libfmaxvai.so -> ./libfmaxvai.so.1
    lrwxrwxrwx 1 sherugu1 cdev 17 Dec 4 11:31 libfminlai.so -> ./libfminlai.so.1
    lrwxrwxrwx 1 sherugu1 cdev 17 Dec 4 11:31 libfminvai.so -> ./libfminvai.so.1
    lrwxrwxrwx 1 sherugu1 cdev 17 Dec 4 11:31 libfprodai.so -> ./libfprodai.so.1
    lrwxrwxrwx 1 sherugu1 cdev 13 Dec 4 11:31 libfsu.so -> ./libfsu.so.1
    lrwxrwxrwx 1 sherugu1 cdev 16 Dec 4 11:31 libfsumai.so -> ./libfsumai.so.1
    lrwxrwxrwx 1 sherugu1 cdev 13 Dec 4 11:31 libfui.so -> ./libfui.so.2
    lrwxrwxrwx 1 sherugu1 cdev 12 Dec 4 11:31 libgc.so -> ./libgc.so.1
    lrwxrwxrwx 1 sherugu1 cdev 11 Dec 4 11:31 libm9x.so -> libm9x.so.0
    lrwxrwxrwx 1 sherugu1 cdev 20 Dec 4 11:31 libcollectorAPI.so -> libcollectorAPI.so.1
    lrwxrwxrwx 1 sherugu1 cdev 18 Dec 4 11:31 libfcollector.so -> libcollectorAPI.so
    lrwxrwxrwx 1 sherugu1 cdev 11 Dec 4 11:31 libtha.so -> libtha.so.1
    lrwxrwxrwx 1 sherugu1 cdev 15 Dec 4 11:31 libsunmath.so -> libsunmath.so.1
    lrwxrwxrwx 1 sherugu1 cdev 18 Dec 4 11:31 libdemangle.so -> ./libdemangle.so.1
    Also, the file sizes are different b/n this dir and /usr/lib. Maybe the versions are different?
    vpns36x-0001:/home/cdev/SunStudio12/SUNWspro/lib>ls -altr ../prod/usr/lib/libCstd.so.1
    -rw-r--r-- 1 sherugu1 cdev 1796972 May 3 2007 ../prod/usr/lib/libCstd.so.1
    vpns36x-0001:/home/cdev/SunStudio12/SUNWspro/lib>ls -altr /usr/lib/libCstd.so.1
    -rwxr-xr-x 1 root bin 1907940 Oct 12 2006 /usr/lib/libCstd.so.1

  • JSP Compilation Error when using OC4J out of the box

    Hello.
    After installing Java jdk 1.5.0_05, setting ORACLE_HOME, setting JAVA_HOME, rebooting, and installing OC4J standalone straight out of the box, I have deployed a simple web project that consists of just one JSP. I receive the following error message:
    NOTIFICATION J2EE JSP-0008 Unable to dispatch jsp Page: oracle.jsp.provider.JspCompilationException: Errors compiling: C:\LocalApps\OC4J\j2ee\home\application-deployments\oc4j_jsp\persistence\_pages\\_Test.java<pre></pre>
    I have deployed a number of Flex2 applications without incident. What am I missing here? Another odd thing is that my organization has OAS running and it can render JSPs fine.
    Any help is appreciated thanks,
    Mike
    P.S. I have gone back and set the JSP debug switches, but they do not yeild much more info. Here is the stacktrace:
    NOTIFICATION J2EE JSP-0008 Unable to dispatch JSP Page : oracle.jsp.provider.JspCompileException: <H3>Errors compiling:C:\LocalApps\OC4J\j2ee\home\application-deployments\oc4j_jsp\oc4j_jsp\persistence\_pages\\_Test.java</H3><pre></pre>
         at oracle.jsp.app.JspJavacCompiler.compile(JspJavacCompiler.java:304)
         at oracle.jsp.runtimev2.JspPageCompiler.attemptCompilePage(JspPageCompiler.java:731)
         at oracle.jsp.runtimev2.JspPageCompiler.compileBothModes(JspPageCompiler.java:456)
         at oracle.jsp.runtimev2.JspPageCompiler.compilePage(JspPageCompiler.java:413)
         at oracle.jsp.runtimev2.JspPageInfo.compileAndLoad(JspPageInfo.java:705)
         at oracle.jsp.runtimev2.JspPageTable.compileAndServe(JspPageTable.java:694)
         at oracle.jsp.runtimev2.JspPageTable.service(JspPageTable.java:414)
         at oracle.jsp.runtimev2.JspServlet.internalService(JspServlet.java:594)
         at oracle.jsp.runtimev2.JspServlet.service(JspServlet.java:518)
         at javax.servlet.http.HttpServlet.service(HttpServlet.java:856)
         at com.evermind.server.http.ServletRequestDispatcher.invoke(ServletRequestDispatcher.java:713)
         at com.evermind.server.http.ServletRequestDispatcher.forwardInternal(ServletRequestDispatcher.java:370)
         at com.evermind.server.http.HttpRequestHandler.doProcessRequest(HttpRequestHandler.java:871)
         at com.evermind.server.http.HttpRequestHandler.processRequest(HttpRequestHandler.java:453)
         at com.evermind.server.http.HttpRequestHandler.serveOneRequest(HttpRequestHandler.java:221)
         at com.evermind.server.http.HttpRequestHandler.run(HttpRequestHandler.java:122)
         at com.evermind.server.http.HttpRequestHandler.run(HttpRequestHandler.java:111)
         at oracle.oc4j.network.ServerSocketReadHandler$SafeRunnable.run(ServerSocketReadHandler.java:260)
         at com.evermind.util.ReleasableResourcePooledExecutor$MyWorker.run(ReleasableResourcePooledExecutor.java:303)
         at java.lang.Thread.run(Unknown Source)

    I had the very same Problem, and I spent a lot of time on it.
    When installing Oc4j as a service using Javaservice opnesource, I had no problem, even using J.D.K 1.6:
    %JSEXE% -install %JSNAME% %JVMDIR%\jvm.dll -XX:PermSize=128m -XX:MaxPermSize=256m -Xmx1024M -Xms1024M -Djava.class.path=%OC4J_HOME%\oc4j.jar -start oracle.oc4j.loader.boot.BootStrap -params -config %OC4J_HOME%\config\server.xml -out %OC4J_HOME%\log\OC4J_service_stdout.log -err %OC4J_HOME%\log\OC4J_service_stderr.log -current %JSBINDIR% -auto -description OC4JService
    Then I wanted to install it using "ServiceMill" or "Javaservice Wrapper", becuase it has a better control on the process and I had that awfull compilation error.
    I guess it is because ServiceMills uses java.exe and javaservice the .dll to launch the oc4j, no idea.
    Anyway, thank you very much!!!!!
    Antonio

  • JSP Compile Error when using dynamic ID in logic:iterate and bean:size

    Hello,
    I try to create a dynamic table with logic:iterate and bean:size tag. Dynamic means the attributes are written by scriptlet. Eg.:
    <logic:iterate id="customerBean" name="<%= formName %>"  property="<%= propertyName%>" length="<%= sRowSize%>">When I replace the id Attribute with propertyName I get compile errors, such as
    the compiler doesn't know the value at runtime:
    Unable to compile class for JSP An error occurred at line: 179 in the jsp file: /pages/formContent.jsp Generated servlet error: _newCustomer.java:1046: Missing term. java.lang.Object <%= propertyName %> = null; ^
    Whats wrong ?
    Thanks for your help

    Solution: don't use a runtime expression for the id attribute.
    The id attribute is used to declare
    - an attribute in scope
    - a scriptlet variable on the page
    These are only available for the duration of the <logic:iterate> tag
    The "id" is only used in your programming. You don't need to make it dynamic.

  • Compilation error when using @DisableWarnings

    Hi,
    I have a stateless EJB 3.0 session bean, and I am trying to add the following lines of code in it:
    @DisableWarnings { WarningCode[] value(); }
    enum WarningCode { BEA_010001, BEA_010200, BEA_010202, BEA_010054 }
    Iam getting a compilation error like this:
    - The annotation @DisableWarnings must define the attribute value
    - Syntax error on token "@", interface expected after this token
    I am also tring to add this:
    @TransactionTimeoutSeconds { int value() default 30; }     
    I am again getting compilation errors.
    I am using Eclipse ganymede.
    Can any body please help me regarding this.
    Thank you,
    Sowmya

    Hi,
    It looks like you are specifying the annotations incorrectly in your bean class. Try the following:
    @DisableWarnings({WarningCode.BEA_010001, WarningCode.BEA_010200, WarningCode.BEA_010202, WarningCode.BEA_010054 })
    @TransactionTimeoutSeconds(30)
    - Matt

  • Compile error when using a class by fully-qualified name

    Hi... Is it an AS3 "feature" or a FB compiler bug that I cannot use a class without importing it?
    I have a statement such as
    if (de.codebank.util.StringUtilities.startsWith(status.name, phase.name+"_"))
    which does not compile until I import the StringUtilities class, which is pointless, of course.
    The compile error mentions an unknown property "util"

    I believe this is how AS3 works. Fully qualified class types require import statement.
    You use fully qualified name whenever you want to avoid ambiguity.
    However, when the code is like,
    import mx.collections.XMLListCollection;
    var arr:mx.collections.ArrayCollection;
    It works since compiler now understands that "mx.collections" is a package.

Maybe you are looking for

  • My iphone does not charge or show up as a device in itunes when i plug it into my computer

    I've never had a problem connecting my phone to the computer, but in the last two or so weeks I have been unable to sync or charge my iphone 4 through my MacBook Pro (OSX). The phone does not charge and it does not show up in the "devices" section of

  • Can I use a OID rule for a Query SQL Lov of BIP?

    Hi. Can I use OID data (rules) for a query sql lov in BIP? Ex. filters users/store. Thank you. R.

  • Multi-camera monitor all windows black...

    I just installed the latest update of CC which appears to have fixed my instance of the bug that set all edit points on the multicam timeline point to the start of the Multicam file after restart. However now I have the problem that the multi-cam mon

  • Refresh an ALV grid

    Hi all. When I'm using set_table_for_first_display method how can implement refreshing option after the user had pressed a button and activated a process ? Thanks all, Rebeka

  • Agents hanging up on customers?

    Post Author: jase4now CA Forum: Crystal Reports Please forgive me if this is in the wrong area. Is there a way, or a report, that can show if an agent is hanging up on callers?  I run reports for a call center that has 65+ operators.  Most of my oper