HDV Input to AVCHD Output?

Can I import thru my cam HDV, and then render it and output it to AVCHD (Mem Stick)? Wondering if it is possible to do zo that I can watch in HiDef on my PS3..?

Yes, I have a PS3 setup for previewing FCE edited video and you can export from FCE through QT-PRO using a .MP4 compliant HD format and transfer the file to the PS3 via whatever storage medium/communications network the PS3 supports such as wired ethernet, WiFi, SD-CARD, USB memory stick, Ipod, Apache web server, UPnP media server, etc. .
Message was edited by: dan hawkins1

Similar Messages

  • Simultaneously read more than one input and generate output

    Is it possible To simultaneously read more than one input and generate outputs depending on these inputs? If this isn't possible what is the best way to go about making some sort of timed loop that will read an input, read the next input, decide whether or not to output and so on. Hope someone can help. Thanks.Message Edited by Esmith13 on 05-25-2005 01:36 PM

    Hi,
    You should look at the synchronized examples for analog input and output that can be found in the example finder under:
    Help>>Find Examples>>Hardware Input and Output>>DAQmx>>Synchronization>>Multi-Function
    These will get you started to being able to handle multiple tasks at the same time.
    I hope this helps. Have a Great Day!
    George

  • Error while executing SSIS package - Error: 4014, Severity:20, State: 11. A fatal error occurred while reading the input stream from the network. The session will be terminated (input error: 109, output error: 0)

    Hi,
    We are getting the following error when running our SSIS packages on Microsoft SQL Server 2012 R2 on Windows Server 2008 R2 SP1:
    Error: 4014, Severity:20, State: 11.   A fatal error occurred while reading the input stream from the network. The session will be terminated (input error: 109, output error: 0)
    SQL Server Data Tools and SQL Server Database Engine reside on the same server.
    We tried the following:
    Disabling TCP Chimney Offload
    Installed Windows Server 2008 SP1
    Splitting our SSIS code into multiple steps so it is not all one large continuous operation
    The error occurs during a BulkDataLoad task.
    Other options we are investigating with the engineering team (out-sourced, so delayed responses):
    Firewall configurations (everything is local, so this should not make a difference)
    Disabling the anti-virus scanner
    Are there other things we can try?
    Any insight is greatly appreciated.
    Thanks!

    Hi HenryKwan,
    Based on the current information, the issue can be caused by many reasons. Please refer to the following tips:
    Install the latest hotfix based on your SQL Server version. Ps: there is no SQL Server 2012 R2 version.
    Change the MaxConcurrentExecutables property from -1 to another one based on the MAXDOP. For example, 8.
    Set "RetainSameConnection" Property to FALSE on the all the connection managers.
    Reference:
    https://connect.microsoft.com/SQLServer/feedback/details/774370/ssis-packages-abort-with-unexpected-termination-message
    If the issue is still existed, as Jakub suggested, please provide us more information about this issue.
    Thanks,
    Katherine Xiong
    Katherine Xiong
    TechNet Community Support

  • Input Tax and Output Tax calculating wrong figures

    Hi,
    I have created Input Tax and Output Tax codes in SAP.
    Input Tax- 3%
    Output Tax- 4%
    All the configuration is done as required. But when I am posting the Purchase and Sales Invoice, the tax amount is not calculating correctly.
    For example:
    Input Tax Calculation should be:
    Vendor A/C.............. Cr 30000-
    Expense A/C............ Dr 29100
    Input Tax A/C............ Dr 900 (@3% on 30000)
    Input Tax Calculation in SAP:
    Vendor A/C.............. Cr 30000-
    Expense A/C............ Dr 29126.21
    Input Tax A/C............ Dr 873.79 (@3% on 30000)
    The same is happening to Output Tax transactions.
    Please advice.

    My understanding about the Input Tax and Output Tax was wrong. In the issue reported
    Input Tax Calculation should be:
    Vendor A/C.............. Cr 30000-
    Expense A/C............ Dr 29100
    Input Tax A/C............ Dr 900 (@3% on 30000)---my understanding was wrong.
    Input Tax 3% should be charged on Expense amount, in this case Rs 30000. But because in the editing option calculate tax on net amount option was not selected, hence SAP was giving the below entry, which is correct as well.
    Vendor A/C.............. Cr 30000-
    Expense A/C............ Dr 29126.21
    Input Tax A/C............ Dr 873.79 (@3% on 29126.21) which is correct.
    Hence, the Vendor amount should be Expense + Input Tax= 30000 * 3%=900 + 30000 (expense) = 30900.
    Correct Entry should be:
    Vendor A/C...............Cr 30900
    Expense A/C............Dr 30000
    Input Tax A/C............Dr 900
    Resolved. Pankaj has given the correct answer.

  • Avchd output to bluray

    Hi all  I read this on Adobe site "AVCHD output to Blu-ray NEW Publish content from AVCHD cameras to Blu-ray using the high-quality native camera format. By passing AVCHD content directly to disc without transcoding, Encore preserves the original fidelity of the source content."  How can i do this? I don't find the opportunity to export avchd without transcoding  I edit with première pro cs5 and send the work(avchd 1080i50) to encore with dynamic link,but when i export my work encore always trancoding and the final quality is worse t han origina l clip What's wrong?  Hi and tnx for your help Alex

    I was trying to do the same thing, take AVCHD footage, edit it, and then bring it into Encore
    CS5 to create menus and burn to disc.  FINALLY I found a way to do this.  You can't use Premiere
    Pro at all for this.  You CAN edit the footage in another program that will allow you to save the edited file in AVCHD.
    For example Corel videostudio Pro X3 (around $60.00) allows you to do this- although with crappy menu
    options- no submenus etc.  So... edit the file in VideoStudio (do not create any menus), save it as AVCHD, and then import it into Encore.  In Encore you will see it say for Blue Ray "Do Not Transcode"  this is what you want to see.  Then create whatever menus etc. you want and burn it to disk.

  • BOM and Production Order: Multiple Inputs to Multiple Outputs

    Dear All, My client's industry required BOM and Production Order must be Multiple Inputs to Multiple Outputs. We propose to create customization program to work on it but client do not want any customizations. Any suggestions? SAP B1 8.81 PL13 Thank you very much in advance. Best Regards, Vivian

    Hi,
    Please advice your requirement with an example. There is possibility to input multiple components to produce single finished product.
    Not sure you have checked with SAP help file. If not refer SAP help file and below blog.
    http://scn.sap.com/community/business-one/blog/2014/03/01/how-to-implement-production-in-default-sap-business-one
    Thanks & Regards,
    Nagarajan

  • Xbox digital audio input and then output through MacBook digital audio port

    Hi,
    Sorry if this has been asked before but I'm trying to output a Dolby Digital (I think AC3) signal from my Xbox 360 into the MacBook's digital audio input and then output it through the digital audio output. I can output 5.1 sound from the MacBook itself but I can't get the Xbox audio to pass through. I don't know if the audio is at a sample rate not supported or needs decoding or what!
    Any help would be appreciated!

    If that doesn't work, I'm not quite sure.
    A combination of the Audio MIDI Utility (in Applications/Utilities), SoundSource, LineIn, and Soundflower (http://cycling74.com/products/soundflower/) might work, otherwise I'm stumped. Your best bet is probably a dedicated external USB or FireWire audio interface.

  • Separate thread for input stream and output stream.

    Hi Techies,
    In a socket connection, can we run the input stream and output stream in separate threads. actually in my case, the input stream will be getting the input regularly and output stream will send data very rare. so if i impelment them in one class then unless there is data to send output stream will be blocked. i was thinking to impelment both the streams in separate threads. is it a good way? so how to implement it. your guidance will be of great help.
    thanks in advance.

    JavaBreather wrote:
    Hi Techies,
    In a socket connection, can we run the input stream and output stream in separate threads.I would say this is the most common way of handling sockets and threads. esp pre-NIO.
    Iis it a good way? so how to implement it. your guidance will be of great help.Once you have a socket, create two threads, one which does the reading and one which does the writing.
    You could use BlockingQueues to abstract access to these threads. i.e. the reading thread reads something from the socket and adds it to the BlockingQueue. The writing thread take()s something froma second BlockingQueue and writes it to the Socket. This way you add things to write or get thing to process by looking at the BlockingQueues.

  • Sound - Change input line to output line

    Hi to everyone I just have a question.
    It is possible to change the input line to output to have an stereo output?
    I use pulseaudio. My sound works great. Even when I connect earphones, the audio of my laptop speakers go off. But I have an amplifier (5.1 channels) and I want to connect my laptop to it. (I use Windows too, and it use the realtek software to change the input line to output) I'm really a total newbie with everything related to sound (I apologize for that), but I even didn't found the answer in the wiki (or there is no answer over there or I really didn't understand).
    Thanks in advance for any help,  I will continue searching for the answer and posting in here if I succeed.
    what lsmod|grep '^snd' | column -t gave me:
    snd_hda_codec_hdmi     22092   1
    snd_hda_codec_realtek  294224  1
    snd_hda_intel          22122   1
    snd_hda_codec          77927   3  snd_hda_codec_hdmi,snd_hda_codec_realtek,snd_hda_intel
    snd_hwdep              6325    1  snd_hda_codec
    snd_pcm                73856   3  snd_hda_codec_hdmi,snd_hda_intel,snd_hda_codec
    snd_timer              19416   1  snd_pcm
    snd                    57786   9  snd_hda_codec_hdmi,snd_hda_codec_realtek,snd_hda_intel,snd_hda_codec,snd_hwdep,snd_pcm,snd_timer
    snd_page_alloc         7089    2  snd_hda_intel,snd_pcm
    what ls -l /dev/snd gave me:
    total 0
    drwxr-xr-x  2 root root       60 Aug 21 15:01 by-path/
    crw-rw----+ 1 root audio 116,  7 Aug 21 15:01 controlC0
    crw-rw----+ 1 root audio 116,  6 Aug 21 15:01 hwC0D0
    crw-rw----+ 1 root audio 116,  5 Aug 21 15:01 hwC0D3
    crw-rw----+ 1 root audio 116,  4 Aug 21 15:01 pcmC0D0c
    crw-rw----+ 1 root audio 116,  3 Aug 21 15:01 pcmC0D0p
    crw-rw----+ 1 root audio 116,  2 Aug 21 15:01 pcmC0D3p
    crw-rw----  1 root audio 116,  1 Aug 21 15:01 seq
    crw-rw----+ 1 root audio 116, 33 Aug 21 15:01 timer
    what aplay -l gave me:
    **** List of PLAYBACK Hardware Devices ****
    card 0: Intel [HDA Intel], device 0: ALC270 Analog [ALC270 Analog]
      Subdevices: 1/1
      Subdevice #0: subdevice #0
    card 0: Intel [HDA Intel], device 3: HDMI 0 [HDMI 0]
      Subdevices: 1/1
      Subdevice #0: subdevice #0
    Thanks in advance for any help you could provide me. I will keep searching for an answer
    P.D If it is possible, then it is possible to use the laptop speaker, input and output line to sound? (3 channels for that, it would be an 7.1 channels)

    Hi,
    What kind of invoice are you trying to change the account for, after you changed the "Allow Matching Account Override" option ? Was the invoice open and unaccounted then ? If an invoice was matched to PO a and accounted for before you changed this option, you won't be able modify the distribution of such an invoice. If this is in a test instance, try doing it for a new invoice first.

  • Payroll Log - input,processing and output

    ALL
    i have a doubt here and i hope it would be cleared in this forum.
    how does values comes in Input, Processing and output in payroll log.... for ex: sap provides detailed explanation in processing step.... where does this stored.... how it is appearing in payroll log.... plz. help to understand this.... Thank you all for your continuous contribution to this forum

    Hi,
    I think you are talking about the log that is getting after executing the Payroll.
    If so, as an example, kindly goto Factoring and storage and double click on X023 (Gross input and storage).
    Here, you can see the Input Table, Processing and Output Table.
    If I am not wrong you are talking about the Processing that is displayed here.
    If yes, Open the Schema through TCode PE01 and double click the Subschema for Period factoring and storage (INAL is the standard one. But if some 'Z' has been used instead of INAL double click that one). Here you can see  X023 (Gross input and storage). Double click X023 and you can see Rule according to which the Processing is happening.
    Thanks and Regards
    Kiran

  • Inputting from and Outputting to Excel Spreadsheets (.xls): How is it done?

    Is it possible to input from and output to .xls files in a Java program?
    If so, can you point me in the right direction/show me how?

    AlphaBlue wrote:
    jverd wrote:
    What were you hoping for? Someone reading your mind for what exactly you need, and handing you a giftwrapped answer, without you doing any work or clearly communicating a specific problem? This site doesn't really work that way.Fair enough. I'm asking for insight into how to input from and output to a StarOffice Spreadsheet into/from a Java program. (Think java.io except with spreadsheet files instead of .txt files.) I already answered that question.
    I need to accomplish this without the use of a community-created library.That's a bizarre requriement. Why?
    >
    Okay, [here you go|http://lmgtfy.com/?q=communication+between+StarOffice+Spreadsheets+and+Java].
    If you don't have any knowledge or experience, on the matter, please refrain from directing me to a google search. I assure you, I have already performed such a task.How would I know that.
    But okay, let's say I know that. Let's say you bothered to point out that you've already done that. Further, let's say I do have knowledge of the subject at hand. Maybe I'm an expert. Maybe I wrote Star Office and an open source library (which wheel for some reason you must reinvent). You are assuming that I also have psychic powers, so that I can read your mind and know exactly what you've read so far and exactly what parts of your very broad and vague question said reading did not answer.
    In short, you have received answers commensurate with your questions.

  • Digital input and digital output at the same time

    Hi all
    I have a PCI-6503 board. I have done 2 task that run simultaneously: the first one is port0_16 output and the second one is port2 input.
    I get sometimes (not every times...this is strange...) error-200587 saying:
    'Requested operation could not be performed, because the specified digital lines are either reserved or the device is not present in NI-DAQmx.
    It is possible that these lines are reserved by another task, the device is being used through the Traditional NI-DAQ interface, or the device is being reset. You might also get the error if the specified resource is currently in use by LabVIEW network variables bound to the DAQ Channel, or if the DAQ Channel is being used in any OPC Client software.
    If you are using these lines with another task, wait for the task to complete.  If you are using the device through the Traditional NI-DAQ interface, and you want to use it with NI-DAQmx, reset (initialize) the device using the Traditional NI-DAQ interface. If you are resetting the device, wait for the reset to finish.'
    In the attached VI i have recreated the basic structure of my real VI (the error is present even here of course...).
    How can i do?
    I want to have both digital input and digital output with the same PCI-6503 every single cycle... Is it possible?
    Please help me!!!
    Kind regards.
    I love the smell of napalm in the morning
    Attachments:
    DIO simultaneously error.vi ‏31 KB

    Hello Maverick,
    Did you have any luck with the example Raven sent you?
    In Raven's example, it properly passes the DAQmx task from one SubVI to another to avoid having to open the task again. These errors are likely to occur when you have multiple DAQmx calls in a VI that call the same task again without clearing the previously opened task. If at any given time you try to open a task that already is being used, you might receive an error "resource is reserved"... similar to your original example.
    If you are interested, here is an awesome link that gives a detailed explanation of the LabVIEW dataflow paradigm
    Learn 10 Functions in NI-DAQmx and Handle 80 Percent of Your Data Acquisition Applications
    Thanks and good luck!
    Jordan Randall
    National Instruments Italy

  • How to set input delay and output delay when source Synchronous

    ClkIN is the board clock which is connected to the FPGA. Clkif is the generated clock from ClkIN. The Device's clk come from Clkif. So, how to set input delay and output delay in this scene(within my understand, this is Source Synchronous)?
    The example in many document, the input delay and output delay setting all refer to board clock(within my understand, this is System Synchronous). In that scene, the input delay max = TDelay_max + Tco_max; input delay min = Tdelay_min + Tco_min; the output delay max = Tdelay_max + Tsu; output delay min = Tdelay_min - Th.
    So, I want to know how to set input/output delay in the Source Synchronous.
    In system synchronous, I set input/output delay such as:
    create_clock -period 20.000 -name ClkIN -waveform {0.000 10.000} [get_ports ClkIN]
    create_generated_clock -name Clkif -source [get_pins cfg_if/clk_tmp_reg/C] -divide_by 2 [get_pins cfg_if/clk_tmp_reg/Q]
    create_clock -period 40.000 -name VIRTUAL_clkif //make virtual clock
    set_input_delay -clock [get_clocks VIRTUAL_clkif] -min 0.530 [get_ports DIN]
    set_input_delay -clock [get_clocks VIRTUAL_clkif] -max 7.700 [get_ports DIN]
    set_output_delay -clock [get_clocks VIRTUAL_clkif] -min -0.030 [get_ports DOUT]
    set_output_delay -clock [get_clocks VIRTUAL_clkif] -max 1.800 [get_ports DOUT]
    *******************************************************************************************

    So, first. Architecturally, the clock that you forward to your external device should not come directly from the clock tree, but should be output via an ODDR with its D1 input tied to logic 1 and the D2 tied to logic 0. This guarantees minimal skew between the output data and the forwarded clock.
    ODDR #(
    .DDR_CLK_EDGE("OPPOSITE_EDGE"), // "OPPOSITE_EDGE" or "SAME_EDGE"
    .INIT(1'b0), // Initial value of Q: 1'b0 or 1'b1
    .SRTYPE("SYNC") // Set/Reset type: "SYNC" or "ASYNC"
    ) ODDR_inst (
    .Q (Clkif_ff), // 1-bit DDR output
    .C (ClkIN_BUFG), // 1-bit clock input
    .CE (1'b1), // 1-bit clock enable input
    .D1 (1'b1), // 1-bit data input (positive edge)
    .D2 (1'b0), // 1-bit data input (negative edge)
    .R (rst), // 1-bit reset
    .S (1'b0) // 1-bit set
    OBUF OBUF_inst (.I (Clkif_ff), .O (Clkif_out));
    This generates an output clock that is the same frequency as your input clock. This is consistent with your drawing, but inconsistent with your constraints - is the forwarded clock a 50MHz clock or a 25MHz clock?
    I will assume your ClkIN goes to a BUFG and generates ClkIN_BUFG.  Your first constraint generates a 50MHz clock on the ClkIN port which will propagate through the BUFG to (among other places) this ODDR.
    create_clock -period 20.000 -name ClkIN -waveform {0.000 10.000} [get_ports ClkIN]
    Assuming your forwarded clock is supposed to be 50MHz, then your 2nd command is close to correct
    create_generated_clock -name Clkif -source [get_pins cfg_if/ODDR_inst/C] -combinational  [get_pins cfg_if/ODDR_inst/Q]
    With this done, you have successfully described the forwarded clock from your design. This is the clock that goes to your device, and hence should be the clock which is used to specify your input and output constraints.
    set_input_delay -clock [get_clocks Clkif] -min 0.530 [get_ports DIN]
    set_input_delay -clock [get_clocks Clkif] -max 7.700 [get_ports DIN]
    set_output_delay -clock [get_clocks Clkif] -min -0.030 [get_ports DOUT]
    set_output_delay -clock [get_clocks Clkif] -max 1.800 [get_ports DOUT]
    If you want to get fancier, you could try adding a set_clock_latency to the forwarded clock to account for the board propagation of the clock
    set_clock_latency -source TDtrace2 [get_clocks Clkif]
    (But I haven't experimented with clock latency on a generated clock and I don't know for a fact that it works).
    Avrum

  • How do I use a daq analog input value to output a voltage based on if/then statements?

    I am the first one here to start using labview and am not familiar with any of it. I am pressed for time and need simple solutions to accquire two analog signals from turbidimeters in volts and use them to turn an alum pump on or off in order to automate a pilot water treatment plant. If someone could correspond with me via email or instant messaging, I would greatly appreciate the assistance. I recognize the power of labview but have been tinkering with it for a week now and have no luck producing results. I do not know if there is already a VI out there that does this, but that would be a tremendous help. Again, someone to correspond with would be the ideal solution.
    Thank
    s,
    Jack

    Basically the job isn't very difficult. All you have to do is use the built-in comparison fucntions to generate a logic level based on the value of the meter output. This boolean value (a green wire on the diagram) can drive the selector input of a case structure. In the case structure you put the code to turn the pump on or off as needed.
    Contact me directly at [email protected] and I can give you more details if you need them or have more questions.
    Certified Professional Instructor
    Certified LabVIEW Architect
    LabVIEW Champion
    "... after all, He's not a tame lion..."
    Be thinking ahead and mark your dance card for NI Week 2015 now: TS 6139 - Object Oriented First Steps
    Attachments:
    demo.vi ‏13 KB

  • How can I pass the values to the variable by using INPUT ON from output

    HI,
        In this code I printed  s_number by using INPUT ON .
    In the out put I want to give the some new values to that field s_number.
    That new value I  pass to the another prgroam ZMAT_LABEL_FIRST .
          How could I pass this new  content to this program.  I wrote like this but I am not getting new content what I entered in the output.
    REPORT  ZMAT_LABEL_SCREEN_V1    NO STANDARD PAGE HEADING                    .
    PARAMETERS S_MBLNR TYPE MSEG-MBLNR.
    DATA C .
    DATA CNT TYPE I.
    DATA   S_NUMBER(3) TYPE C.
    DATA : BEGIN OF IT_MBELN OCCURS 0,
            MBLNR TYPE  MSEG-MBLNR,
            END OF IT_MBELN.
    DATA :  BEGIN OF IT_MSEG OCCURS 0,
            ZEILE TYPE MSEG-ZEILE,
            MBLNR TYPE MSEG-MBLNR,
            MEINS TYPE MSEG-MEINS,
            BPMNG TYPE MSEG-BPMNG,
            MAKTX TYPE MAKT-MAKTX,
            END OF IT_MSEG.
    DATA IT_FINAL LIKE IT_MSEG .
    data: it_ret like ddshretval occurs 0 with header line.
    AT SELECTION-SCREEN ON VALUE-REQUEST FOR S_MBLNR.
    SELECT MBLNR
           FROM MSEG
           INTO TABLE IT_MBELN.
           CALL FUNCTION 'F4IF_INT_TABLE_VALUE_REQUEST'
             EXPORTING
             DDIC_STRUCTURE         = ' '
               retfield               =  'MBLNR'
              PVALKEY                = ' '
             DYNPPROG               = ' '
             DYNPNR                 = ' '
             DYNPROFIELD            = ' '
             STEPL                  = 0
             WINDOW_TITLE           =
             VALUE                  = ' '
              VALUE_ORG              = 'S'
             MULTIPLE_CHOICE        = ' '
             DISPLAY                = ' '
              CALLBACK_PROGRAM       = 'ZMAT_LABEL_SCREEN '
             CALLBACK_FORM          = ' '
             MARK_TAB               =
           IMPORTING
             USER_RESET             =
             tables
               value_tab              =  IT_MBELN
             FIELD_TAB              =
              RETURN_TAB             =  IT_RET
             DYNPFLD_MAPPING        =
           EXCEPTIONS
             PARAMETER_ERROR        = 1
             NO_VALUES_FOUND        = 2
             OTHERS                 = 3
           IF sy-subrc <> 0.
    MESSAGE ID SY-MSGID TYPE SY-MSGTY NUMBER SY-MSGNO
            WITH SY-MSGV1 SY-MSGV2 SY-MSGV3 SY-MSGV4.
           ENDIF.
    IF SY-SUBRC = 0.
    read table it_ret index 1.
    move it_ret-fieldval to S_MBLNR.
    ENDIF.
    START-OF-SELECTION.
    SELECT A~ZEILE
           A~MBLNR
           A~MEINS
           A~BPMNG
           B~MAKTX
           INTO CORRESPONDING FIELDS OF TABLE IT_MSEG
           FROM MSEG AS A INNER JOIN MAKT AS B
           ON AMATNR = BMATNR
           WHERE MBLNR = S_MBLNR.
    ****FINDING THE NUMBER OF RECORDS IN THE TABLE
    DESCRIBE TABLE IT_MSEG LINES CNT.
    at line-selection.
    DO CNT TIMES.
    READ LINE SY-INDEX FIELD VALUE C.
    IF C = 'X'.
    READ TABLE IT_MSEG INDEX SY-INDEX .
    ***HERE READ THE S_NUMBER(WHAT I ENTERED IN THE OUTPUT)  AND PASS TO THIS PROGRAM
    submit   ZMAT_LABEL_FIRST with p_mblnr = IT_MSEG-mblnr
                              with number =  S_NUMBER
                              WITH S_ZEILE =  IT_MSEG-ZEILE and return.
    CLEAR IT_MSEG.
    ENDIF.
    ENDDO.
    **********ALL ITEMS CORRESPODNING TO THE DOCUMENT NUMBER DISPLAY
    END-OF-SELECTION.
    LOOP AT IT_MSEG.
    WRITE : /10 C as  CHECKBOX,IT_MSEG-ZEILE,IT_MSEG-MAKTX,IT_MSEG-BPMNG,IT_MSEG-MEINS, S_NUMBER COLOR 5 INPUT ON.
    ENDLOOP.
    Regards,
    Surya

    Hi Surya..
    Change it like this ...
    START-OF-SELECTION.
    SELECT A~ZEILE
    A~MBLNR
    A~MEINS
    A~BPMNG
    B~MAKTX
    INTO CORRESPONDING FIELDS OF TABLE IT_MSEG
    FROM MSEG AS A INNER JOIN MAKT AS B
    ON AMATNR = BMATNR
    WHERE MBLNR = S_MBLNR.
    ****FINDING THE NUMBER OF RECORDS IN THE TABLE
    DESCRIBE TABLE IT_MSEG LINES CNT.
    at line-selection.
    <b>DO. </b>
    READ LINE SY-INDEX FIELD VALUE C
                                      <b>FIELD VALUE IT_MSEG-ZEILE.</b>
    <b>if sy-subrc ne 0.
      EXIT.
    ENDIF.</b>
    IF C = 'X'.
    ***HERE READ THE S_NUMBER(WHAT I ENTERED IN THE OUTPUT) AND **PASS TO THIS PROGRAM
    <b>CALL FUNCTION 'CONVERSION_EXIT_ALPHA_INPUT'
      exporting
        INPUT = IT_MSEG-ZEILE
      IMPORTING
        OUTPUT = IT_MSEG-ZEILE .</b>
    submit ZMAT_LABEL_FIRST with p_mblnr = IT_MSEG-mblnr
    with number = S_NUMBER
    WITH S_ZEILE = IT_MSEG-ZEILE and return.
    CLEAR IT_MSEG.
    ENDIF.
    ENDDO.
    **********ALL ITEMS CORRESPODNING TO THE DOCUMENT NUMBER DISPLAY
    END-OF-SELECTION.
    LOOP AT IT_MSEG.
    WRITE : /10 C as CHECKBOX,IT_MSEG-ZEILE,IT_MSEG-MAKTX,IT_MSEG-BPMNG,IT_MSEG-MEINS, S_NUMBER COLOR 5 INPUT ON.
    ENDLOOP.
    <b>Reward if Helpful.</b>

Maybe you are looking for

  • ABAP inbound proxy 0:unbounded structure

    Hello Folks In ABAP proxy, how can I read a structure like this and process it for passing to a BAPI. <Material>   <Material No/>    <Mat desc/>    <UOM/> </Material> Here Material is of type 0:unbounded and generates a table type in Proxy. The input

  • Oracle automatic statistics optimizer job is not running after full import

    Hi All, I did a full import in our QA database, import was successful, however GATHER_STATS_JOB is not running after sep 18 2010 though its enable and scheduled, i did query last_analyzed table to check and its confirmed that it didnt ran after sep18

  • Curve 9360 os problems

    i am using an redington carrier curve 9360  indian handcet i cannot install os 7.1 on my cell it displays the os 7.0 only after formatting !! can you help ?

  • Appending to a string in c:if

    I am trying to do something along the lines of this: <c:if test="${ 'dueDateEqualsTodaysDate_<c:out value="${product.productId}"/>' == 'TRUE'}"> meaning I am trying to search to see if the variable dueDateEqualsTodaysDate_1489 is true, but the syntax

  • INPUTSELECTLOV jbo tag is not working  properly

    Hi, Developing BC4J/JSP application with struts framework in 9.0.3.1. I'm getting exceptions when i used InputSelectLOV tag. Here is my jsp code: <jbo:DataSource id="locProgSvcDS" appid="CSMSModule" viewobject="RGBModule.LocProgSvcsView"/> <jbo:DataS