How to set full duplex and speed on vnet interface

Dear All,
currently I have this issue
# dladm show-dev
vnet0           link: up        speed: 0     Mbps       duplex: unknown
vnet1           link: up        speed: 0     Mbps       duplex: unknown
How to set it to full duplex and full speed?
thank in advance

hi Reido,
lo0: flags=2001000849<UP,LOOPBACK,RUNNING,MULTICAST,IPv4,VIRTUAL> mtu 8232 index 1
        inet 127.0.0.1 netmask ff000000
vnet0: flags=1000843<UP,BROADCAST,RUNNING,MULTICAST,IPv4> mtu 1500 index 2
        inet 192.168.0.5 netmask ffffff00 broadcast 192.168.2.255
        groupname db_ipmp
        ether 0:14:4f:fa:38:f3
vnet0:1: flags=1000843<UP,BROADCAST,RUNNING,MULTICAST,IPv4> mtu 1500 index 2
        inet 0.0.0.0 netmask ff000000 broadcast 0.255.255.255
vnet1: flags=69000842<BROADCAST,RUNNING,MULTICAST,IPv4,NOFAILOVER,STANDBY,INACTIVE> mtu 0 index 3
        inet 0.0.0.0 netmask 0
        groupname db_ipmp
        ether 0:14:4f:f9:4c:38
Then
root@SVR-UATDB01 # ndd /dev/vnet0 -set adv_1000fdx_cap 1
operation failed: Invalid argument
thank for you feedback

Similar Messages

  • Setting duplex and speed for NIC

    Can anyone tell me what the correct way is to set the duplex and speed on a NIC is in Solaris 10? I know that you can use the "ndd" command to manually set them but I'm looking to having this done automatically when the machine boots. So far, I can think of putting these commands inside /etc/system but I'm really looking for the correct way of doing things.

    The correct way would be to set it in the config file for your interface's driver, usually found in /kernel/drv. For example, T5220s come with e1000g interfaces. You can use /kernel/drv/e1000g.conf to configure a whole lot more than just duplex and speed.
    Edited by: Codename47 on Jun 2, 2009 7:55 AM

  • 9124 duplex and speed issue

    Trying to install a new 9124.
    The ethernet switch port for the mgmt port of the 9124 is set to full 100 and the 9124 came set to auto auto.
    The mgmt port is comming up as half duplex.
    When trying to set the duplex and speed of the mgmt port to full 100, it appers to take the change but does not retain it and it still comes up as half duplex.
    So it appears that the 9214 mgmt port will not take the change of setting to full 100.
    I have tried to change it with the port down but it won't take changes when down.
    If I change it while up and save it and bounce the port it reverts back to half when it comes up.
    If I make the change and reboot it reverts back.
    Any idea how to force the mgmt port to full 100 and get it to stick?

    There is one more defect solved in later 5.x releases where 91xx will end up in half duplex for mgmt interface.
    Workaround would be to configure both sides to auto.
    http://tools.cisco.com/Support/BugToolKit/search/getBugDetails.do?method=fetchBugDetails&bugId=CSCte76880
    - Filiph

  • Need to permanently set network link modes (duplex and speed)

    Hello everyone,
    I have an Intel on-board network card  which does not play well with my FritzBox. According to Intel this is a known issue in how the card negotiates its link mode. Intel provided a tool to set the link mode under windows (because the standard windows settings did not persist). Now I successfully figured out how to set duplex and speed to the appropriate values but i have to do this every time on boot with
    $ ethtool -s eno1 speed 100 duplex full
    My question now is twofold:
    1. Is there any other way to persistently set those values? I know about mii-tool but this reports my card is not supported and I don't know if it would persist a reboot.
    2. If i need to run ethtool on every boot: What would be the preferred (best-practice) way to do it? I'm still really new to arch and Linux but cursory reading indicates to write a systemd service.
    Cheers
    Kai

    Maybe this could be useful
    https://wiki.archlinux.org/index.php/Sy … vice_files
    I have just read a little but I think this will do

  • How to set full screen camera on ios 8 on iphone5s

    How to set full screen camera on iphone 5s having an ios8

    HI,
    Give the width in % instead of mentioning in pixels.
    ie. for full width, give 100%.
    Make sure the layout is GridLayout.
    Regards
    Fahad Hamsa

  • How to set multiple ORACLE_HOME and ORACLE_SID, on Windows

    How to set multiple ORACLE_HOME and ORACLE_SID, on Windows.
    I have 5 oracle instances. Every time if i want to start up the services , I am manually setting the environment variable (ORACLE_HOME and ORACLE_SID) and starting the services one at a time.
    Can we set multiple ORACLE_HOME and ORACLE_SID in Start->My computer-> properties-> advance-> Environment variable.
    Thanks
    Naveen

    Hi ,
    Can you please give me the link, for the doc you are referring to
    Thanks
    Naveen

  • How to set Full Crawl Schedule as None via Powershell command

    How to set Full Crawl Schedule as None via Powershell command

    $ssa = "Search Service Application"
    $contentSource = Get-SPEnterpriseSearchCrawlContentSource -SearchApplication $ssa -Identity "Local SharePoint Sites"
    $contentSource.IncrementalCrawlSchedule = $null
    $contentSource.FullCrawlSchedule = $null
    $contentSource.Update()
    Basically you set Schedule to Null.
    Amit

  • How to set the IP and MAC address in C program?

    My working environment is Sun250 Server, Solaris 7 operating system. I encountered a problem ---- How to set the IP and MAC address in C program to make the system change it IP & MAC at runtime?
    Any idea is welcome! Thanks!

    Hi
    As a simplest possible solution, you can use the system command
    to run ifconfig that can set both the mac address and the IP address of the system. You will have to use setuid though.
    Or you can use the DLPI calls ( do a man DLPI or search for a
    Sun documentation on the same at http://soldc.sun.com) to write
    a pure C program.
    HTH
    Shridhar

  • How to set default currency and Country in R12 financial modules

    Hi all,
    How to set default currency and Country in R12 financial modules (AR,AP,GL,FA,CE) becuase I found some default settings are shown "USD" & "United States" such as create AR Customer, the Country is shown the default "United States"....
    Can anybody advise ?
    Thanks & Regards,

    Hi,
    Change the below profile for the user to a territory different than the US :
    In System Administrator, navigate to Profiles -> System.
    Select Site, Application, and Responsibility.
    Profile options:
    Default Country
    HZ: Reference Territory
    ICX: Territory
    Regards,
    Raju.

  • How to set the WIDTH and ALIGN of h:column in h:dataTable ??

    How to set the WIDTH and ALIGN of <h:column> in <h:dataTable>??
    Thanks a lot!

    Hi,thanks to your reply.
    Now I find a more convenient appraoch to set column's width and align respectively:
    <h:dataTable ...>
    <h:column>
    <f:facet name='header'>
    </f:facet>
    <h:outputText style="width:80px; text-align: right;" value='#{row.fieldA}'/>
    </h:column>
    <h:column>
    <f:facet name='header'>
    </f:facet>
    <h:outputText style="width:120px; text-align: left;" value='#{row.fieldB}'/>
    </h:column>
    </h:dataTable>
    It works fine.
    ^-^

  • How to set the router and play the Command & Conquer using direct IP mode?

    My router is WRT54GFirmware Version: v3.03.1
    How to set the router and play the Command & Conquer using direct IP mode with other computer?

    Hi, when you say direct IP mode, what do you mean ? do you wanna play the game online or on the LAN ??
    can you give a few more details.

  • How to set the gain and input coupling for each channel on a NI 4462 DaQ card?

    I've seen a few examples in how to set the gain for NI 4462, but none tell me how to chose the channel I wish to set this gain.  Also the same problem with input coupling
    Does anyone know how to set the gain and input coupling for each individual channel?
    Thanks,
    Hector
    LabView 8.5 Windows XP

    Hey Hector,
    http://forums.ni.com/ni/board/message?board.id=100&thread.id=1688
    This has a few examples of how to do that.  Let me know if you have further questions.
    Have fun!
    -gaving

  • How to set the Mail and Fax options  for the Smartforms ???

    How to set the Mail and Fax options  for the Smartforms ??? Please reply me very soon. Its a life deciding question now for me. Please .

    >
    veera Karthik wrote:
    > How to set the Mail and Fax options  for the Smartforms ??? Please reply me very soon. Its a life deciding question now for me. Please .
    Hi
    Check this Link:
    http://help.sap.com/saphelp_nw04/helpdata/en/a5/28d3b9d26211d4b646006094192fe3/frameset.htm
    P.S: Never say reply me soon....people answer you here voluntarily and as everyone has their own desk to manage...sp replies may late or early..depending upon the availabality of the members.
    Vishwa.

  • How to set input delay and output delay when source Synchronous

    ClkIN is the board clock which is connected to the FPGA. Clkif is the generated clock from ClkIN. The Device's clk come from Clkif. So, how to set input delay and output delay in this scene(within my understand, this is Source Synchronous)?
    The example in many document, the input delay and output delay setting all refer to board clock(within my understand, this is System Synchronous). In that scene, the input delay max = TDelay_max + Tco_max; input delay min = Tdelay_min + Tco_min; the output delay max = Tdelay_max + Tsu; output delay min = Tdelay_min - Th.
    So, I want to know how to set input/output delay in the Source Synchronous.
    In system synchronous, I set input/output delay such as:
    create_clock -period 20.000 -name ClkIN -waveform {0.000 10.000} [get_ports ClkIN]
    create_generated_clock -name Clkif -source [get_pins cfg_if/clk_tmp_reg/C] -divide_by 2 [get_pins cfg_if/clk_tmp_reg/Q]
    create_clock -period 40.000 -name VIRTUAL_clkif //make virtual clock
    set_input_delay -clock [get_clocks VIRTUAL_clkif] -min 0.530 [get_ports DIN]
    set_input_delay -clock [get_clocks VIRTUAL_clkif] -max 7.700 [get_ports DIN]
    set_output_delay -clock [get_clocks VIRTUAL_clkif] -min -0.030 [get_ports DOUT]
    set_output_delay -clock [get_clocks VIRTUAL_clkif] -max 1.800 [get_ports DOUT]
    *******************************************************************************************

    So, first. Architecturally, the clock that you forward to your external device should not come directly from the clock tree, but should be output via an ODDR with its D1 input tied to logic 1 and the D2 tied to logic 0. This guarantees minimal skew between the output data and the forwarded clock.
    ODDR #(
    .DDR_CLK_EDGE("OPPOSITE_EDGE"), // "OPPOSITE_EDGE" or "SAME_EDGE"
    .INIT(1'b0), // Initial value of Q: 1'b0 or 1'b1
    .SRTYPE("SYNC") // Set/Reset type: "SYNC" or "ASYNC"
    ) ODDR_inst (
    .Q (Clkif_ff), // 1-bit DDR output
    .C (ClkIN_BUFG), // 1-bit clock input
    .CE (1'b1), // 1-bit clock enable input
    .D1 (1'b1), // 1-bit data input (positive edge)
    .D2 (1'b0), // 1-bit data input (negative edge)
    .R (rst), // 1-bit reset
    .S (1'b0) // 1-bit set
    OBUF OBUF_inst (.I (Clkif_ff), .O (Clkif_out));
    This generates an output clock that is the same frequency as your input clock. This is consistent with your drawing, but inconsistent with your constraints - is the forwarded clock a 50MHz clock or a 25MHz clock?
    I will assume your ClkIN goes to a BUFG and generates ClkIN_BUFG.  Your first constraint generates a 50MHz clock on the ClkIN port which will propagate through the BUFG to (among other places) this ODDR.
    create_clock -period 20.000 -name ClkIN -waveform {0.000 10.000} [get_ports ClkIN]
    Assuming your forwarded clock is supposed to be 50MHz, then your 2nd command is close to correct
    create_generated_clock -name Clkif -source [get_pins cfg_if/ODDR_inst/C] -combinational  [get_pins cfg_if/ODDR_inst/Q]
    With this done, you have successfully described the forwarded clock from your design. This is the clock that goes to your device, and hence should be the clock which is used to specify your input and output constraints.
    set_input_delay -clock [get_clocks Clkif] -min 0.530 [get_ports DIN]
    set_input_delay -clock [get_clocks Clkif] -max 7.700 [get_ports DIN]
    set_output_delay -clock [get_clocks Clkif] -min -0.030 [get_ports DOUT]
    set_output_delay -clock [get_clocks Clkif] -max 1.800 [get_ports DOUT]
    If you want to get fancier, you could try adding a set_clock_latency to the forwarded clock to account for the board propagation of the clock
    set_clock_latency -source TDtrace2 [get_clocks Clkif]
    (But I haven't experimented with clock latency on a generated clock and I don't know for a fact that it works).
    Avrum

  • How to set a group by clause in ODI interface?

    How to set a group by clause in ODI interface?

    In ODI, group by method will be triggered automatically when any one of your mapping contains aggregate functions.
    Thanks,
    Saravanan Rajavel

Maybe you are looking for

  • Two hard drives and iTunes?

    Hello all: I have two HDs in my Quicksilver, and was thinking of using the extra one to store and play all of my music. I aim to import all of my CDs and store them away. So, my questions: 1. How do I import the tracks to the 2nd drive and play them

  • How to extract a attachment from an email

    Hello SDN, we receive email via the configuration of TAC SO28 and trigger a workflow. What would be a good/possible way to extract potential attachments (such as pdf's or tif's) from the email within SAP workflow? Are there any methods or FM's, which

  • Clicking Photo Settings Button Changes Hue of image

    I have been struggling with this for some time. When I import a jpg file ( file created from exporting from iPhoto ) to iMovie, it looks fine on the preview window until I click the Photo Settings button. The images hue chages to an orang/red. All I

  • Import my own package??!!!

    hello guys, I'm having a litle difficulties importing my own package. here is my example: I have a folder on C:\Users\User\Desktop\test2 in wich u can find a file called "hello.java" and another folder called "hi" which contains a file "hello2.java"

  • Column not found error in SelectQueryModel.executeSelect()

    Greetings, I'm posting this question to verify whether this is the intended JATO behavior or I am doing something wrong. I have a SelectQueryModel (e.g. Model1) based on a SQL query: select A, B from ... before executing the model I add a where crite