How to set input volume - currently very low results

Hi there, I hope someone very kind and patient reads this and comes to my rescue.
I am a singer / songwriter and am trying to set my laptop up so I can make simple recordings of my ideas. I therefore want to input vocals and guitar. I currently have a SM-68 dynamic mic but am thinking of getting a Samson G-Track condenser mic which is USB and has a built in audio interface so that a guitar may also be plugged in.
I have gone into Sound Preferences and selected line-in.
Rigged up my mic using a cable bought at the Apple store.
However there is very little volume on the resulting recording.
I have turned everything up to the maximum but this has made no difference.
I wanted to keep the set up simple (and am on a tight budget) so I haven't got an audio interface.
Could anyone talk me through the best way to set up - I am not very technical so can you avoid jargon as my poor brain may overload.
If anyone has any info on the G-Track I would be grateful for any feedback. I am told that you can plug a guitar into it as it contains an audio interface.
My fingers are crossed that my knight in shining armour with give me some sound advice.
Many thanks

http://www.bulletsandbones.com/GB/GBFAQ.html#micline

Similar Messages

  • I have a new iphone6+  and have paired it to my 3 cars. When playing music from my iphone, the volume is very low and I have to turn the car volume to max to listen. I had no issues with previous iphone parings. Pls help.

    I have a new iphone6+  and have paired it to my 3 cars. When playing music from my iphone, the volume is very low and I have to turn the car volume to max to listen. I had no issues with previous iphone parings. Pls help.

    Sorry if this is too basic or confusing
    Apparently in iOS 8 there are different volume settings for different applications
    The setting on the home screen does not carry over to Pandora for example and it is different ( or at least appears to be) for the in-car experience.
    So I would try to stream the music when not in the car - see if you can turn the volume up and then try again in the car
    Please let us know what happens
    Thanks

  • WIFI Calling - outgoing volume is very low

    Hello, I was recently given a Samsung S5 from my work for business use.I work reguarly from home, and the coverage is poor from inside my house! I recently looked on the EE website and found that i could upgrade the software on my phone to enable WIFI calling.I managed to do this which was very straightforward. The problem is now that although i can receive and make calls very easily through WIFI, the outgoing call volume is very low and people are struggling to hear me properly. To check it was WIFI causing this, i disabled WIFI and made the call again in an area of my house where i can get some reception. The volume was fine. So the issue is clearly with WIFI calling. I am not aware of a way to adjust my outgoing voice volume but i hope you can help.This causes me alot of issues and unecessary stress. I look forward to hearing from you. Regards Alan Craddock

    I think I may have stumbled onto a fix. When looking at the call settings to type my post, above, I noticed there was a check box to enable/disable 'Noise reduction' (cuts out background noise, apparently). This was on. I switched it off, and the volume on WiFi calling is now OK. To find this setting in Android, go the the call screen, choose 'Settings', then 'Call'...uncheck the box labelled 'Noise reduction'. Hopefully there's some equivalent setting for those of you unfortunate enough to be using an Apple device ;-) Crash

  • Iphone 5c call volume is very low. Any suggestions?

    My iphone 5c call volume is very low. While on a call, I can barely hear the person on the other line. It sounds like they are at 25% volume when the volume shows it is at 100% volume. They can hear me fine. I have tried resetting/restoring several times, the call volume is all the way up, and have even tried messing with the accessibility-->hearing aid setting. The only way to get by it right now is to have it on speakerphone, which works fine. This happens with every person I have talked with, even landlines. Any help greatly appreciated.

    Hello tjmzero,
    The following article provides some useful troubleshooting steps that can help resolve issues with your receiver.
    iPhone: Receiver and call audio quality issues
    http://support.apple.com/kb/TS5196
    Cheers,
    Allen

  • HT1849 hello i downloaded an album on my iPad yesterday but the sound volume is very low. Can you help me to fix the problem ?

    hello i downloaded an album on my iPad yesterday but the sound volume is very low. Can someone help me to fix the problem ?

    Hello Kishore1022,
    It sounds like you have a new album downloaded and the tracks all have a very low volume. I recommend checking to see if Sound Check is enabled in the Music settings.
    iPad User Guide
    http://help.apple.com/ipad/7/#/iPad99f37037
    Go to Settings > Music to set options for Music, including:
    Sound Check (to normalize the volume level of your audio content)
    You can also try downloading the purchases onto a computer, with either of these articles depending on your version of the operating system:
    iTunes 11 for Windows: Download previous purchases from the iTunes Store
    http://support.apple.com/kb/PH12491
    Or
    iTunes 11 for Mac: Download previous purchases from the iTunes Store
    http://support.apple.com/kb/PH12283
    Thank you for using Apple Support Communities.
    Cheers,
    Sterling
    Thank you for using Apple Support Communities.
    All the best,
    Sterling

  • How to set up volume of lumia 535?

    How to set up volume of lumia 535?

    you want to reset audio volume in Lumia 535?
    hold power button and press volume down key until that phone vibrate, after that you can set volume back
    you can also try using headset to test
    regarding this question I suggest contact Windows phone support
    find support based on your location and language
    http://www.microsoft.com/en/mobile/support/locations/

  • How to set page number & current date in sqlplus result

    how to set page number & current date in sqlplus result

    Hi,
    Use the TTITLE command. For example:
    SET     PAGESIZE     15
    TTITLE     LEFT  &_date     RIGHT  "Page:" FORMAT 999 sql.pno     SKIP 2
    SELECT     ROWNUM
    ,     ename
    FROM     scott.emp
    ;Output:
    12-Jan-2011                    Page:   1
        ROWNUM ENAME
             1 SMITH
             2 ALLEN
             3 WARD
             4 JONES
             5 MARTIN
             6 BLAKE
             7 CLARK
             8 SCOTT
             9 KING
            10 TURNER
    12-Jan-2011                    Page:   2
        ROWNUM ENAME
            11 ADAMS
            12 JAMES
            13 FORD
            14 MILLER 
    I hope this answers your question.
    If not, post a little sample data (CREATE TABLE and INSERT statements, relevant columns only), and the results you want from that data. If your question is based on commonly available tables, like those in the scott schema, then you don't have to post any sample data: just post the output.
    Explain how you get those results from that data.
    Always say what version of Oracle (and other relevant softward, SQL*Plus in this case) you're using.

  • How to set input "Description" to mandatory?

    Hi all,
    How to set input "description", from infrastructure blueprint request form, to mandatory?
    Thanks in advance.

    Hi all,
    How to set input "description", from infrastructure blueprint request form, to mandatory?
    Thanks in advance.

  • With volume addjusted accrdoin ly in my I tunes program volume is very low any other music program is much louder

    Volume is very low in my I tunes library all other music programs it is much louder

    WInchesterAA wrote:Yeah.. everything passed.
    I am asking whether the volume level in the speaker test in the Speaker Settings software is OK.

  • HT204370 the volume is very low on downloaded movies from itunes, and my volume is turned up all the way, and my volume is fine all other times???

    My volume is very low when I download a movie from itunes, and my sound is turn all the way up.  The volume works fine on everything else.  It's really hard to even watch the movie and enjoy it.  Why is this happening?

    Morning Al My issue has since been resolved after some advice from a friend. It was simple, push the optical cables in firmly until they click, however mine didnt click but there was definatley room for them to be pushed in further as if they are loose they "leak".  in addition to this on the TV settings, make sure that the TV speakers are turned off

  • I'm trying to play MIDI files in Quicktime. It works buy the volume is very low, even with both Quicktime and computer volume on max. What can I do?

    I'm trying to play MIDI files in Quicktime. It works buy the volume is very low, even with both Quicktime and computer volume on max. What can I do?

    I'm having the same issue.  After opening Audio Midi Setup in Utilities I noticed that the master volume slider of the Built-In Output is very low.  I am not able to slide to get any more level out of the system.
    Can you adjust the master volume on your system?

  • How to set input delay and output delay when source Synchronous

    ClkIN is the board clock which is connected to the FPGA. Clkif is the generated clock from ClkIN. The Device's clk come from Clkif. So, how to set input delay and output delay in this scene(within my understand, this is Source Synchronous)?
    The example in many document, the input delay and output delay setting all refer to board clock(within my understand, this is System Synchronous). In that scene, the input delay max = TDelay_max + Tco_max; input delay min = Tdelay_min + Tco_min; the output delay max = Tdelay_max + Tsu; output delay min = Tdelay_min - Th.
    So, I want to know how to set input/output delay in the Source Synchronous.
    In system synchronous, I set input/output delay such as:
    create_clock -period 20.000 -name ClkIN -waveform {0.000 10.000} [get_ports ClkIN]
    create_generated_clock -name Clkif -source [get_pins cfg_if/clk_tmp_reg/C] -divide_by 2 [get_pins cfg_if/clk_tmp_reg/Q]
    create_clock -period 40.000 -name VIRTUAL_clkif //make virtual clock
    set_input_delay -clock [get_clocks VIRTUAL_clkif] -min 0.530 [get_ports DIN]
    set_input_delay -clock [get_clocks VIRTUAL_clkif] -max 7.700 [get_ports DIN]
    set_output_delay -clock [get_clocks VIRTUAL_clkif] -min -0.030 [get_ports DOUT]
    set_output_delay -clock [get_clocks VIRTUAL_clkif] -max 1.800 [get_ports DOUT]
    *******************************************************************************************

    So, first. Architecturally, the clock that you forward to your external device should not come directly from the clock tree, but should be output via an ODDR with its D1 input tied to logic 1 and the D2 tied to logic 0. This guarantees minimal skew between the output data and the forwarded clock.
    ODDR #(
    .DDR_CLK_EDGE("OPPOSITE_EDGE"), // "OPPOSITE_EDGE" or "SAME_EDGE"
    .INIT(1'b0), // Initial value of Q: 1'b0 or 1'b1
    .SRTYPE("SYNC") // Set/Reset type: "SYNC" or "ASYNC"
    ) ODDR_inst (
    .Q (Clkif_ff), // 1-bit DDR output
    .C (ClkIN_BUFG), // 1-bit clock input
    .CE (1'b1), // 1-bit clock enable input
    .D1 (1'b1), // 1-bit data input (positive edge)
    .D2 (1'b0), // 1-bit data input (negative edge)
    .R (rst), // 1-bit reset
    .S (1'b0) // 1-bit set
    OBUF OBUF_inst (.I (Clkif_ff), .O (Clkif_out));
    This generates an output clock that is the same frequency as your input clock. This is consistent with your drawing, but inconsistent with your constraints - is the forwarded clock a 50MHz clock or a 25MHz clock?
    I will assume your ClkIN goes to a BUFG and generates ClkIN_BUFG.  Your first constraint generates a 50MHz clock on the ClkIN port which will propagate through the BUFG to (among other places) this ODDR.
    create_clock -period 20.000 -name ClkIN -waveform {0.000 10.000} [get_ports ClkIN]
    Assuming your forwarded clock is supposed to be 50MHz, then your 2nd command is close to correct
    create_generated_clock -name Clkif -source [get_pins cfg_if/ODDR_inst/C] -combinational  [get_pins cfg_if/ODDR_inst/Q]
    With this done, you have successfully described the forwarded clock from your design. This is the clock that goes to your device, and hence should be the clock which is used to specify your input and output constraints.
    set_input_delay -clock [get_clocks Clkif] -min 0.530 [get_ports DIN]
    set_input_delay -clock [get_clocks Clkif] -max 7.700 [get_ports DIN]
    set_output_delay -clock [get_clocks Clkif] -min -0.030 [get_ports DOUT]
    set_output_delay -clock [get_clocks Clkif] -max 1.800 [get_ports DOUT]
    If you want to get fancier, you could try adding a set_clock_latency to the forwarded clock to account for the board propagation of the clock
    set_clock_latency -source TDtrace2 [get_clocks Clkif]
    (But I haven't experimented with clock latency on a generated clock and I don't know for a fact that it works).
    Avrum

  • How to set XML.ignoreWhitespace = false; in HttpService /Result?

    Please ask these kind of questions on flexcoders or the Adobe Flex Forums.  This is for development of the SDK itself.<br /><br />Matt<br /><br /><br />On 11/17/08 12:56 AM, "venubwal" <[email protected]> wrote:<br /><br />A new discussion was started by venubwal in<br /><br />Developers --<br />  How to set XML.ignoreWhitespace = false;  in HttpService /Result?<br /><br />I want to carry/preserve Leading & trailing spaces<white spaces> in event's result....<br />I am using service as :<br />_webService = new HTTPService();<br />_webService.url = serviceUrl;<br />_webService.method = "POST";<br />_webService.resultFormat = "e4x";<br />......<br />...Again i am checking it as -in<br />private function serviceResultHandler(event:ResultEvent):void<br />        {<br />        stopExecution();<br />        var doc:XMLDocument = new XMLDocument();<br /> doc.ignoreWhite = false;<br />        XML.ignoreWhitespace = false;<br />doc.parseXML(event.result as XML);<br />       Alert.show(event.result.toString(),"....serviceResultHandler...");<br /><br />But, i am not able see L&T spacesin alert() where I can see L&T spaces in Servlet/Java side which assigned as metaResponse<br />as....<br />      OutputStream writer = response.getOutputStream();<br />      OutputStreamWriter outputStreamWriter = new OutputStreamWriter(writer,"UTF-8");<br />JaxbSerializationUtil.serializeObjectToWriter(outputStreamWriter, metaResponse);<br /><br />________________________________<br />View/reply at How to set XML.ignoreWhitespace = false;  in HttpService /Result? <a href=http://www.adobeforums.com/webx?13@@.59b70bd4><br />Replies by email are OK.<br />Use the unsubscribe <a href=http://www.adobeforums.com/webx?280@@.59b70bd4!folder=.3c060fa3>  form to cancel your email subscription.

    By Using XML.ignoreWhitespace = false; <br />I am able to Store/Carry/Save L&T white spaces in XML and i can retrive values with whitespaces...<br /><br />It is in case of loading from Java<DB> to Flex.<br /><br />But the actual problem is with xmlObject.toString()/toXMLString()<br />is not able to carry whitespace, while it should carry as parameter to Java Servlet..so that it can be get using request.getParameter() [..then it is serializing/deserializing]<br /><br />So, how i can preserve the L&T whiteSpaces on XML.toString()/toXMLString() method.<br /><br />OR else<br />How we can set Http request attribute in Flex, so that we can use ( AnY_Object)request.getAttribute()...

  • How to set input ready query to display mode as default when open workbook?

    Dear All,
    Hi, i have a question here about IP query.
    as my current situation, my workbook included one input ready query, therefore, when i open my workbook which default is Edit mode which mean all value can be edited.
    but, i want it to display mode when open workbook, and i already have a edit button, if i want to edit the value i will use Edit button, so, i wan it as display mode when i first open workbook.
    so, can anyone tell me how to set it as display mode when open workbook which included input ready query?
    Regards
    wenlong
    Thanks a million

    in Data Provide Specfici  commad
    Edit
    Dsiplay
    Filter command
    Assigen Query /Query view
    pls select Edit then save

  • How to Set Input Levels with FirePod and GarageBand

    Hello ....
    I/ve got Garageband and my Firepod 8 channel interface working ... but I need some tips .. especially on the relationship of input levels between my Firepod and Garageband ....
    When I am setting input levels for a recording ... where should the input level be on the track in Garageband? .... Is that volume setting only for playback and mixing? .... or is it for the input as well ...
    Thank you ....

    Where should the initial input levels be set? ... Would you use the loudest
    part of the song to do this? ...
    Yes, as loud as possible without lighting the FP's clip leds.
    When I mix the final product ... and change the volumes on the different
    tracks.. aren't you then changing the input levels?
    No, you're changing the output levels.
    can't you red line and overload the track?
    Yes, that's why each track has a fader, so that you don't clip.
    Is there a master ouput level indicator
    Yes, right over the Master Volume slider.
    I guess you can't just put each track as loud as you want ... correct?
    As loud as you want without clipping.
    I would strongly suggest doing some web searches on "Mixing and Mastering" to learn more about how to mix, there's a TON of info floating around the web.

Maybe you are looking for