How to set the ports on wrt150n for my xbox360

help me!!!!!!!  I need evry step

I just contacted Linksys/Cisco about this problem of the WRT150N not connecting properly with the Xbox 360 Live.
The support person online said this model does suport it.
I do not know if this person was right or not but here was the advice they gave online:
1. Assign static IP, subnet mask, gateway and DNS on the Xbox 360.
a) Go to the System area of the Xbox Dashboard.
b) Select Network Settings.
c) Select Edit Settings to adjust your:
IP Settings (IP Address = 192.168.1.20, Subnet Mask = 255.255.255.0, Default Gateway = 192.168.1.1)
DNS Settings (Primary = 4.2.2.1, Secondary = 4.2.2.2)
2. Port forwarding
88 (udp)
3074 (tcp & udp)
a) Access the router's web-based setup page and click on Applications and Gaming tab.
b) Under Port Range Forwarding sub tab, we will enter two entries for us to forward the two ports.
First Entry
Name = Xbox360a
Start = 88
End = 88
Protocol = UDP
IP Address = 192.168.1.20
Enabled = Checked
Second Entry
Name = Xbox360b
Start = 3074
End = 3074
Protocol = Both
IP Address = 192.168.1.20
Enabled = Checked
c) Save the settings.
3. Still on the router's web-based setup page, click on Setup tab.
a) Set MTU from Auto to Manual.
b) Change the MTU size from 1500 (default) to 1365.
c) Scroll down and save the settings.
4. Power cycle. Unplug the power adapter of the router for 10 seconds and plug it back again.
5. On your Xbox 360:
a) Go back to the System area of the Xbox Dashboard.
b) Select Network Settings.
c) Select Test Xbox Live Connection.
d) After the system has completed its tests, select More Info to learn more about your network, paying close attention to any test that failed.
I checked more with Linksys and here is a Web page related to this, but note that this is for Xbox and not Xbox360. What is interesting to note is this from the PDF: " Before proceeding, please take note that Linksys does NOT support the configuration of Xbox™. The following instructions simply tell you how to configure the router for the Xbox™, not the game console itself. For technical support on the Xbox™, contact Microsoft."
I think this may support my case that Linksys and Xbox are not good friends.
Setting-Up the Xbox™
http://www3.nohold.net/noHoldCust56/Prod_6/KnowledgePortal/KPScripts/articleviewer.asp?docid=ffe3b04...
P.S. - It is my guess that Linksys/Cisco is wrong here; that they have not recognized the problem or are not willing at this time time to acknowledge it. What do you think?
Message Edited by BrainDragon on 10-26-2007 09:56 PM
Message Edited by BrainDragon on 10-26-2007 10:05 PM
(Mod note: Removed non-public information. Thank you.)
Message Edited by Vince_02 on 12-27-2007 04:45 PM

Similar Messages

  • How to set the default settment rule for the same kind project.

    hi experts:
       the project of the same kind of the project profile have the same settment rule to one account (G/L).
    how to set the default settment rule for the project of  this kind of the project profile.
    regards

    Hi,
      You need to define the settlement profile and allocation structure.
    next you need to define the  Strategy for settlement rule.
    navigation-PS> costs> automatic and periodic allocations> settlement> Settlement rule for WBS
    --> Define strategy for settlement rule.
    Select the strategy and click on settings. in that new entries, check the Acc Assg element, enter Settlement profile and mention accass category as reposnsible cost center.
    Save this transaction.
    Now create a project assgin responsible costcenter for the WBS element in the project buider and save the transaction.
    Now run CJB2 transaction for the project, settlement rule will generated automatically.
    even you can configure the same for profitability segment.
    Rgds
    Sudhir Reddy

  • How to set the Data Synchronisation mode for ADF BC component?

    Hi All,
    How to set the Data Synchronisation mode for ADF BC component
    Thanks
    Raghavendra

    Can you clarify what you mean by the data synchronization mode?
    If you mean the Sync Mode, then in 10.1.3 you set this property by:
    1. Selecting your DataBindings.cpx file
    2. Expanding the "Data Control Usages" section in the structure window
    3. Selecting the ADFBC-based data control
    4. Setting the "syncMode" property in the property inspector.

  • How to set the short cut key for buttons

    Hi
    I dont know how to set the short cut keys for the button...can u pls help me out

    Do you mean a short cut key for buttons at client side (web browser)? If yes, you could do it with JavaScript~~~ ^o^

  • How to set the number of rings for the agent phone rings before it get the not prepared state

    hi, how to set the number of rings for the agent phone rings?  before it get the not prepared state.
    thanks

    The following assumes that you are using ICM with an IPIVR etc (not using CVP), as the answer is different for CVP
    What you are looking for is called "Ring no answer time".  It is set in the Agent Desk Setting List tool.
    Regards,
    Kevin

  • How to set the same General settings for all users

    Hi Experts,
    How can i set the same general settings for all users e.g. marking the checkbox "Display header" to true for all users? General settings are possible under User Settings Button.
    Regards,
    Arti.

    Hi Arti!
    You can default user settings in a PFCG role, in the "personalization" tab.
    If you have a PFCG role that is common to all your users, you can set the default values here.
    However it does not prevent them to change these settings later, except if you modify the "user settings" fields properties in cProjects application.
    Matthias

  • How to set the number of sockets for batch processing at runtime?

    Hello all,
    I need to change the execution model at runtime. I have achieved this by setting the 'ModelPath' property of the sequence file at runtime. When I set the sequence file model as batchmodel, i need to set the number of test sockets also dynamically. How can this be done? Once i set the number of sockets, i would also have to set the UUT serial number for each socket. Please help me out in solving this.
    Thanks and Regards
    Madhu Srinivasan.

    Hi Madhu,
        You can do this by inserting a sequence file callback into your main sequence and choose the ModelOptions callback. You can then use the expression step to set the "Parameters.ModelOptions.NumTestSockets" value to whatever you want. If you then use the Test UUTs execution entry point you will be prompted for serial numbers automatically. You could also use this same procedure but alter the ModelOptions callback in the process model directly, either way works just as well but I think that using a sequence file callback gives a bit more flexibility in the system.
    Hope this helps,
       Nick

  • How to set the default maximum size for java's heap?

    Hi!
    Im trying to set the default max size for the java heap - but not from the command line.
    I would like to set it higher as default on my computer.. how can I do that?
    thanks!

    >
    ...You may increase the memory heap only when you're launching a new JVM.>Much like IWantToBeBig does.
    OTOH, it this is an app. with a GUI, it is easier to launch it using webstart, and request extra memory in the JNLP descriptor (the webstart launch file).

  • How to set the default context value for flexfield in OAFramework pages

    Hi,
    I have a page which contains a contexxt value field i.e Flexfields
    To select the context value we have to select the value from drop down
    So Here we want to display the context value immediately when the page is called
    How to set the default valu in this case
    Regards,
    Krishna

    You can set the Attribute category view attribute to the Flexfield context value and call prepareforRendering in the flex bean. Check the dev guide for details.
    Regards
    Sumit

  • Please help me :How to set the width and height for my View page "test.htm"

    Hi,
        I have controller class. When i call the view[test.htm]from this controller class ,i am getting the view with output tableview..it is fine.
    My requirement:
                 the output view window is showing full browser window. I want the view output like showModeldialog. I want to set the width and height for the output view window. How is possible?
                 or
    i want to call the test.view in the window.showModelDialog..
    Please help me .. Urgent requirement.

    Yes you can set the width & Height of the view as follows..
    <div style="width:580px; height:750px; margin-top:15px; overflow:auto;">
    <htmb:form......>
    </htmlb:form>
    </div>
    <i>*Reward each useful answer</i>
    Raja T

  • How to set the parameter ID value for a range

    I am trying to call transaction KSB1 (Display actual line items for cost center) by supplying all the default values for the selection options/parameters and skipping the selection screen from the calling program. However, for the cost center range, both HIGH and LOW field have the same PID (i.e. KOS). Same thing for the cost element range. When I set the value for PID "KOS", only the low value got populated.
    I tried to pass a BDC table to the
    CALL TRANSACTION 'KSB1' USING  bdc_tab
    , but the PID used before takes higher priority so the bdc_tab values got overwritten by the PID values for those fields.
    Does anybody know how to find or set the PID for a selection range? I need PID for Cost center range, cost element range and the period (PID="VPE").
    Any advice is appreicated. Thank you
    Minami

    otherwise try to make use of SUBMIT command.
    <b>Hi Preetahm ,
    KSB1 is a Report , not a Tcode to maintain master data or any thing like that ?, u can also cross check?</b>
    by using SUBMIT command u have to <b>RKAEP000</b> this program along with the selection screen.
    regards
    Prabhu
    Message was edited by: Prabhu Peram

  • How to set the parameter selection *optional* for each subreport?

    Hi,
    I am using Crystal Reprots 11.
    I create 10 subreports, and each subreport contains a Parameters Field.
    The 10 subreports are put in 10 footer sections in increasing order, i.e. subreport1, subreport2, subreport3, ..., subreport10.
    When I use "Print Preview" to take a look at the whole report, CR prompts a "Edit Values" window for selecting parameter values for all 10 subreports.
    For example, if I only select parameter values for subreport1 and click "OK", 9 error messages on the "Edit Values" window come out above the selection list box of every other subreports (i.e. subreport2 ~ subreport10) showing that: 'The value is not valid'.
    Sometimes, I just want to review some specific subreports but not all.
    How should I do to set the parameter selection optional (not mandatory) for each subreport?
    Thank you in adavance.
    Edited by: Holdup on Feb 17, 2012 3:44 PM

    Hi,
    Here's how you can make the prompts optional (Assuming you're using CR 2008 or higher):
    1) Go into each subreport
    2) You should see the parameter in the Field Explorer. Right-click the name and select Edit > Look for an option called 'Optional Prompt'. Set it to true
    3) If you have a record selection in the subreport, then you need to modify the selection formula to something like this:
    if not(hasvalue({?Parameter_Name})) then True else = {?Parameter_Name}
    Hope this helps!
    -Abhilash

  • How to set the read only property for dynamic ALV column

    Hi All,
    I have built one dynamiv ALV and I have one name column inside that ALV for which I have to set read only property based on two column fields that exist in the same ALV,say IS_SP and IS_CORP of type boolean.
    If any one of the flag is 'X',i have to make that name column as display only and
    If both flag are not set,it should be displayed as ediatable column.
    I have written one method as INIT_ALV which gets called when ALV is loaded.
    Code is as  follows:
      lr_column_settings ?= wd_this->alv_all_roles.
      lt_columns = lr_column_settings->get_columns( ).
    LOOP AT lt_columns INTO ls_column.
    CASE ls_column-id.
       WHEN <NAMECOLUMN>. "name column which should be editable/display
    Create Input Field
            CREATE OBJECT lr_uie_input_field_ro
              EXPORTING
                value_fieldname = ls_column-id.
         ls_column-r_column->set_cell_editor( lr_uie_input_field_ro ).
        After this,I have to set the read only property based on IS_SP and IS_CORP values,
              CALL METHOD lr_uie_input_field_ro->set_read_only_fieldname
              EXPORTING
                *value = 'IS_SP' or 'IS_CORP'
    ENDLOOP.
    I dont want to use cell variants also.
    Help me to achieve this.
    Thanks and Regards,
    Divya

    Divya - I have done it in the past following these documents. Please read it and try it it will work.
    Please read it in the following order since both are a continuation documents for the same purpose (it also contains how to change colors of row dynamically but I didnt do that part I just did the read_only part as your requirement) 
    http://www.sdn.sap.com/irj/scn/go/portal/prtroot/docs/library/uuid/f0625002-596c-2b10-46af-91cb31b71393
    http://www.sdn.sap.com/irj/scn/go/portal/prtroot/docs/library/uuid/d0155eb5-b6ce-2b10-3195-d9704982d69b?quicklink=index&overridelayout=true
    thanks!
    Jason PV

  • How to set the price activation process for Purchasing Promotion

    Dear All,
    In solution we have 2 types of Promotion = Sales and Purchasing.
    Is Sales part you are creating benefit conditions (discount, fixed price, free good etc. ) and it will not be active while you are not activating it.
    Whenever pricing condition for Purchasing created in wak2 transaction, condition is active as of indicated date. No activation possibility.
    I'm trying to figure our the opportunity how to set up the configuration, so when I'm creating condition, it will be in planing status, as long as i did not press the activation button.
    Any ideas, suggestions, appreciated.
    Best regards,
    Liza

    Hi;
    Please make a search at metalinks as "The following input contained illegal input value, please re-enter your input or contact the system administrator", There are more than 6 notes mention this error message
    Regard
    Helios

  • How to set the number of averages for doing octave analysis

    Hi
    I am using a 4472 DAQ for continuously acquiring time domain signal and doing octave band analysis using Sound and vibration tool kit. How can we set the number of averages while doing the Octave analysis. All  the other FFT vi like FRF, Auto Spectrum, Cross Spectrum have an option for setting the number of averages and then the vi runs for those many averages and it stops. How this same logic can be applied while doing octave analysis.
    Regards,
    Ankit

    Hi Ankit,
    You may want to consider using the VI in a for loop.  By wiring the "number of averages you wish to complete" to the count terminal ("N'), you can control how many times the VI runs.
    Hope this helps,
    Jennifer O.

Maybe you are looking for

  • MS-RDP black screen connecting to VDI

    I just finished configuring a new install of VDA 3.4. Everything went well, no issues. VDI runs on a OEL 5.7 box, and VBox is running on a secondary OEL 5.7 box. I have one XP install, one Pool and one user accessed via LDAP. I've setup the pool and

  • Creating a tax code in FTXP I can't fill in an account for NVV

    Creating a tax code in FTXP, for my tax code I've filled in a Tax Percent. Rate for Transaction Key NVV but SAP doesn't allow me to fill in an account for this Transaction Key in the tab tax accounts. What cuold it depend on? Thanks

  • Mouse will not scroll down

    My mouse will scroll up and sideways but not down.Anyone else with this problem?

  • How to create a business directory in Muse?

    Does anyone have advice or suggested tools for creating a community business directory in Adobe Muse?  Specifically, a directory that can be searched both alphabetically by business name and categorically by business type.

  • How to create process code for idoc bank_create01 in testing client

    hi, I am using Idoc BANK_CREATE01 and BANK_CHANGE01  to post idoc to R/3 in inbound side. there is no standard process code available for this idoc But there is a function module idoc_input_bank_create which posts data to r/3 from the idoc. So i crea