How to set up NWDS and where do I find libraries, and API doc...

Hi experts,
I am new EP Development.  I have WebAS 6.40 installed, with NWDS - only NWDS and no EP.  I have written some small code using HTMLB control, and getting error coz the libraries pertaining to HTMLB are missing. I tried to import the libraries into NWDS from the project properties, but did not find any libraries.   Where can I find the libraries that I can include in the NWDS?  Do we have to install anything else than just installing NWDS to get the access to libraries and APIs ?  Please advise.
Thanks in advance.
Vicky R.

Hi,
WAS is only an application server, you have to install enterprise portal to deploy and run applications.
Refer this link for a simple example
<a href="http://help.sap.com/saphelp_nw04/helpdata/en/ce/e0a341354ca309e10000000a155106/frameset.htm">jspdynpage</a>
You can download the EP sneak preview from here
<a href="https://www.sdn.sap.com/irj/sdn/downloaditem?rid=/library/uuid/cfc19866-0401-0010-35b2-dc8158247fb6">Download</a>
Use the JAR FINDER to find the required jar files
<a href="https://www.sdn.sap.com/irj/sdn/weblogs?blog=/pub/wlg/2951">Jar Finder</a>
HTMLB Documentation
<a href="http://help.sap.com/saphelp_nw04/helpdata/en/0c/9e0e41a346ef6fe10000000a1550b0/frameset.htm">HTMLB</a>
You can search help.sap.com for information.
PORTAL API'S
<a href="https://www.sdn.sap.com/irj/sdn/javadocs">API</a>
========================================================
The basic jar files such as the one for htmlb are available in the eclipse->plugins directory in your system. use the jar finder set the path to eclipse->plugins directory and give the search term as "htmlb" and
right click on the search result to add it to your project.ex:
PDK only contains sample code.
see the jar finder blog and install the jar finder or right click on the project -> properties->java build path->Add external jars->
the htmlb jar is in the folder
eclipse->plugins->com.sap.ep.applicationdevelopment->
com.sap.portal.htmlb_api.jar add this jar to your project.
Some jar files will be on the server machine, if you cannot find the jar file in the eclipse plugins folder then they will be in the server under the path
[drive]C:\usr\sap\JXX\JC00\j2ee\cluster\server0\apps\sap.com\irj\servlet_jsp\irj\root\WEB-INF\portal\portalapps
Message was edited by: Kirupanand Venkatapathi

Similar Messages

  • Where can I find the Java API doc for the OES PEP interfaces (OpenAZ API).

    In the PepApiExample downlouded from Oracle web site at [PepApiExample |http://www.oracle.com/technetwork/middleware/id-mgmt/downloads/pepapiexample-1588440.zip], there are some Java API interfaces related OpenAZ API, but there is no the whole API docs, where I can get it?
    Thanks.

    There should be a file called javadocs.jar in your portal installation directory. Either under SUNWps/sdk or SUNWps/export.
    - Jim

  • HT3477 Again, another page saying that the device supports WiFi guests it but HOW to set it up? Where in the ultility is it?

    Again, another page saying that the device supports WiFi guests it but HOW to set it up? Where in the ultility is it?

    Open AirPort Utility and click Manual Setup
    Click the Guest Network tab below the icons
    If the Guest Network tab does not appear, you have the Time Capsule connected to a modem/router, not a simple modem. The Guest Network cannot be enabled unless you have the Time Capsule connected to a simple modem.

  • How to set multiple ORACLE_HOME and ORACLE_SID, on Windows

    How to set multiple ORACLE_HOME and ORACLE_SID, on Windows.
    I have 5 oracle instances. Every time if i want to start up the services , I am manually setting the environment variable (ORACLE_HOME and ORACLE_SID) and starting the services one at a time.
    Can we set multiple ORACLE_HOME and ORACLE_SID in Start->My computer-> properties-> advance-> Environment variable.
    Thanks
    Naveen

    Hi ,
    Can you please give me the link, for the doc you are referring to
    Thanks
    Naveen

  • How to set the IP and MAC address in C program?

    My working environment is Sun250 Server, Solaris 7 operating system. I encountered a problem ---- How to set the IP and MAC address in C program to make the system change it IP & MAC at runtime?
    Any idea is welcome! Thanks!

    Hi
    As a simplest possible solution, you can use the system command
    to run ifconfig that can set both the mac address and the IP address of the system. You will have to use setuid though.
    Or you can use the DLPI calls ( do a man DLPI or search for a
    Sun documentation on the same at http://soldc.sun.com) to write
    a pure C program.
    HTH
    Shridhar

  • How to set default currency and Country in R12 financial modules

    Hi all,
    How to set default currency and Country in R12 financial modules (AR,AP,GL,FA,CE) becuase I found some default settings are shown "USD" & "United States" such as create AR Customer, the Country is shown the default "United States"....
    Can anybody advise ?
    Thanks & Regards,

    Hi,
    Change the below profile for the user to a territory different than the US :
    In System Administrator, navigate to Profiles -> System.
    Select Site, Application, and Responsibility.
    Profile options:
    Default Country
    HZ: Reference Territory
    ICX: Territory
    Regards,
    Raju.

  • How to set the WIDTH and ALIGN of h:column in h:dataTable ??

    How to set the WIDTH and ALIGN of <h:column> in <h:dataTable>??
    Thanks a lot!

    Hi,thanks to your reply.
    Now I find a more convenient appraoch to set column's width and align respectively:
    <h:dataTable ...>
    <h:column>
    <f:facet name='header'>
    </f:facet>
    <h:outputText style="width:80px; text-align: right;" value='#{row.fieldA}'/>
    </h:column>
    <h:column>
    <f:facet name='header'>
    </f:facet>
    <h:outputText style="width:120px; text-align: left;" value='#{row.fieldB}'/>
    </h:column>
    </h:dataTable>
    It works fine.
    ^-^

  • How to set the router and play the Command & Conquer using direct IP mode?

    My router is WRT54GFirmware Version: v3.03.1
    How to set the router and play the Command & Conquer using direct IP mode with other computer?

    Hi, when you say direct IP mode, what do you mean ? do you wanna play the game online or on the LAN ??
    can you give a few more details.

  • How to set the gain and input coupling for each channel on a NI 4462 DaQ card?

    I've seen a few examples in how to set the gain for NI 4462, but none tell me how to chose the channel I wish to set this gain.  Also the same problem with input coupling
    Does anyone know how to set the gain and input coupling for each individual channel?
    Thanks,
    Hector
    LabView 8.5 Windows XP

    Hey Hector,
    http://forums.ni.com/ni/board/message?board.id=100&thread.id=1688
    This has a few examples of how to do that.  Let me know if you have further questions.
    Have fun!
    -gaving

  • How to set the Mail and Fax options  for the Smartforms ???

    How to set the Mail and Fax options  for the Smartforms ??? Please reply me very soon. Its a life deciding question now for me. Please .

    >
    veera Karthik wrote:
    > How to set the Mail and Fax options  for the Smartforms ??? Please reply me very soon. Its a life deciding question now for me. Please .
    Hi
    Check this Link:
    http://help.sap.com/saphelp_nw04/helpdata/en/a5/28d3b9d26211d4b646006094192fe3/frameset.htm
    P.S: Never say reply me soon....people answer you here voluntarily and as everyone has their own desk to manage...sp replies may late or early..depending upon the availabality of the members.
    Vishwa.

  • How to set input delay and output delay when source Synchronous

    ClkIN is the board clock which is connected to the FPGA. Clkif is the generated clock from ClkIN. The Device's clk come from Clkif. So, how to set input delay and output delay in this scene(within my understand, this is Source Synchronous)?
    The example in many document, the input delay and output delay setting all refer to board clock(within my understand, this is System Synchronous). In that scene, the input delay max = TDelay_max + Tco_max; input delay min = Tdelay_min + Tco_min; the output delay max = Tdelay_max + Tsu; output delay min = Tdelay_min - Th.
    So, I want to know how to set input/output delay in the Source Synchronous.
    In system synchronous, I set input/output delay such as:
    create_clock -period 20.000 -name ClkIN -waveform {0.000 10.000} [get_ports ClkIN]
    create_generated_clock -name Clkif -source [get_pins cfg_if/clk_tmp_reg/C] -divide_by 2 [get_pins cfg_if/clk_tmp_reg/Q]
    create_clock -period 40.000 -name VIRTUAL_clkif //make virtual clock
    set_input_delay -clock [get_clocks VIRTUAL_clkif] -min 0.530 [get_ports DIN]
    set_input_delay -clock [get_clocks VIRTUAL_clkif] -max 7.700 [get_ports DIN]
    set_output_delay -clock [get_clocks VIRTUAL_clkif] -min -0.030 [get_ports DOUT]
    set_output_delay -clock [get_clocks VIRTUAL_clkif] -max 1.800 [get_ports DOUT]
    *******************************************************************************************

    So, first. Architecturally, the clock that you forward to your external device should not come directly from the clock tree, but should be output via an ODDR with its D1 input tied to logic 1 and the D2 tied to logic 0. This guarantees minimal skew between the output data and the forwarded clock.
    ODDR #(
    .DDR_CLK_EDGE("OPPOSITE_EDGE"), // "OPPOSITE_EDGE" or "SAME_EDGE"
    .INIT(1'b0), // Initial value of Q: 1'b0 or 1'b1
    .SRTYPE("SYNC") // Set/Reset type: "SYNC" or "ASYNC"
    ) ODDR_inst (
    .Q (Clkif_ff), // 1-bit DDR output
    .C (ClkIN_BUFG), // 1-bit clock input
    .CE (1'b1), // 1-bit clock enable input
    .D1 (1'b1), // 1-bit data input (positive edge)
    .D2 (1'b0), // 1-bit data input (negative edge)
    .R (rst), // 1-bit reset
    .S (1'b0) // 1-bit set
    OBUF OBUF_inst (.I (Clkif_ff), .O (Clkif_out));
    This generates an output clock that is the same frequency as your input clock. This is consistent with your drawing, but inconsistent with your constraints - is the forwarded clock a 50MHz clock or a 25MHz clock?
    I will assume your ClkIN goes to a BUFG and generates ClkIN_BUFG.  Your first constraint generates a 50MHz clock on the ClkIN port which will propagate through the BUFG to (among other places) this ODDR.
    create_clock -period 20.000 -name ClkIN -waveform {0.000 10.000} [get_ports ClkIN]
    Assuming your forwarded clock is supposed to be 50MHz, then your 2nd command is close to correct
    create_generated_clock -name Clkif -source [get_pins cfg_if/ODDR_inst/C] -combinational  [get_pins cfg_if/ODDR_inst/Q]
    With this done, you have successfully described the forwarded clock from your design. This is the clock that goes to your device, and hence should be the clock which is used to specify your input and output constraints.
    set_input_delay -clock [get_clocks Clkif] -min 0.530 [get_ports DIN]
    set_input_delay -clock [get_clocks Clkif] -max 7.700 [get_ports DIN]
    set_output_delay -clock [get_clocks Clkif] -min -0.030 [get_ports DOUT]
    set_output_delay -clock [get_clocks Clkif] -max 1.800 [get_ports DOUT]
    If you want to get fancier, you could try adding a set_clock_latency to the forwarded clock to account for the board propagation of the clock
    set_clock_latency -source TDtrace2 [get_clocks Clkif]
    (But I haven't experimented with clock latency on a generated clock and I don't know for a fact that it works).
    Avrum

  • I encrypted iphone backup by mistake ( didnt realize the box was checked). I dont know the password to disable the encryption. How do i fix this? How can i delete the backup , where do i find it?

    I encrypted iphone backup by mistake ( didnt realize the box was checked). I dont know the password to disable the encryption. How do i fix this? How can i delete the backup , where do i find it?

    In iTunes click on edit then preferences then on the devices tab and the backups are there.  Select the one you want to delete then delete it

  • How do I add word and pdf docs in an existing pdf doc?

    How do I add word and pdf docs in an existing pdf doc?

    Or https://createpdf.acrobat.com/

  • Where can I find FND/AD Api's and their documentation

    Hi All,
    Where can I find FND/AD Api's and their documentation. Any help is appreciated
    thanks

    Oracle Integration Repository
    http://irep.oracle.com/index.html

  • I bought acrobat after a trial run. how do I install it? Where do I find the software setup screen?

    i bought acrobat after a trial run. how do I install it? Where do I find the software setup screen? I received a message that I shoul just enter the serial number in the software setup screen, but I don't see that when I open the icon.

    Help --> Activate
    Mylenium

Maybe you are looking for