Importing 2.1 into 3.1 how?

Hi helpful people,
I'm having trouble exporting my photos from the old aperture 2.1 into the newer 3.1. (I don't know how)......
The 2.1 is on an external iomega drive if that makes any difference.  I can't actually view the old 2.1 on my macbook pro either if that's of any relevance.
Thank you in advance for your enlightening replies,
John.

I am unable to open the 2.1 application at all as my computer won't recognise it.
Is your Aperture 2.1 version still a PowerPC version and not a universal binary? Then you must have missed an update.  Your profile signature says " Mac OS X (10.6.8)".
If you are still running Snowleopard, you should be able to install the Roseta environment (your installer DVD should have an option to add this environment) and then you should be able to run Aperture 2.1, even if it is a PowerPC application. This might enable you to update it to a universal binary.
If that is not possible, backup your Aperture 2.1 library.
I still cannot tell from your answer, if your MacBook Pro can see the Aperture 2.1 library, when you mount th iomega drive.
If you can see the Aperture 2.1 library in the Finder, when you mount the drive on your MBP, then try to open this Library directly in APerture 3.1.1.
Select the Aperture 2.1 library in the Finder,
Hold down the key combination ⌥⌘ firmly, and at the same time doubleclick the library.
Aperture 3.1. should launch into the First Aid Tools. Select "Rebuild Library".
Go to have a cup of coffee - this may take a while. Depending on the size of the library several hours.
Aperture should now repair and rebuild the library and upgrade it to the new format.
Once the library has been upgraded, you can use it as your Paerture library or import it into your main Aperture Library, by opening the main library and using the command "File > Import > Library".
Regards
Léonie

Similar Messages

  • How to Import XML file into SAP B1

    Dear All,
    I have a scenario like,
    I am receiving a XML file from a 3rd party application for the daily Creation,Update of Item Master,BP Master, Marketing Documents. I want to import this file into SAP B1 through its approp objects. I understand DTW has limitation in its file types (Semicolo,Tab,Comma,ODBC). How do i do this ? Please guide me.
    Thanks,
    Thanga Raj K

    Hy folks,
    I´m frim Brasil and I've been studying the tool EFM (Eletronic File Manager) to learn more about it!
    There I saw that we can extract to XML "any" infomation from the database we want, mainly through the GEP.
    However, as I've seen, this Add-On can not import any XML file into SBO, unless for the BFP wich can be imported in conjunction with the BTHF Add-on.
    So I ask: how is it possible to import XML data into SBO database? Is it possible to be done through the EFM? or  it´s really necessary to write a code specifically to do that?
    Besides, I know that de B1iSN fit to this necessity... but when I tried to use it, by the custom "object" for BP, for example, there are some data wich the mapping conteined in this custom "process" that can not be imported... I tried to understand how to map those other fields not imported by the custom but this has been dificult to me as I am a implementation consultant focused in administrative process not on development...
    Could you please help me with this subject!
    Thanks a lot,
    Denis

  • How do I import animated gifs into Premiere with a transparent background?

    I have an animated gif that I got from the internet, and I'm trying to import it into Premiere Pro with a transparent background. The gif is of a video game character standing still and blinking. Whenever I import it, it always has a white background, so I need help on how to change that.
    I've gone into Photoshop and removed the white background frame by frame, making it transparent. I do this by using the magic wand tool to select the white background, then hit "delete" to turn it into that diamond grid, which means it's transparent. I then select File -> Save for Web. I preview every frame, and it shows that the backgrounds are transparent. I save it as a gif. But when I import it into Premiere Pro, the white background is still there.
    I've read many forums online about people trying to import images into Premiere Pro with a transparent background, but none of them have explained how to do it specifically for animated gifs. Every answer has always been "export the image as a png, that'll work", and sure enough, I exported a few frames of the gif as pngs, and those few frames had transparent backgrounds in Premiere Pro. But I don't want a png because those aren't animated sequences, those can only be still images. I need to export it as a gif so that it will remain animated, but gif files always have that dreaded white background in Premiere Pro.
    I suppose I could export every frame as a png, import each of those frames into Premiere Pro, then string all the frames together and tweak them so they'll be functionally identical to the animated gif, but that would take forever. I'm making a video project and I need numerous animated gifs to work with, so I don't want to do that with every single one of them. I'm looking for a way to simply import the gifs into Premiere Pro and be done with it. If there is any way to do this, please help me.
    -Robert

    faind on gif transparency and other cartoon animation problems : Adobe After Effects
    " The reason: GIFs don't support alpha channels. Instead, their file structure assigns a certain color value to be read as totally transparent. There aren't any degrees of transparency as you find in graphics formats that do support alpha channels.
    To use an animated gif in AE, you'd first have to create the areas of transparency, then convert the series of images into a graphics format that supports alpha channels, and there are a bunch: PICT, TIFF, TARGA or PNG, to name a few."

  • If I imported a CD into my iTunes library from one computer how can I listen to it from another computer?

    If I imported a CD into my iTunes library from one computer how can I listen to it from another computer?  I imported music from a CD into my compter at work and when I got home and went to my iTunes account none of the songs were in my library.  I also noticed that on my Work computer there were a couple songs that I had to click on the little 'cloud' icon before I could listen to them (these were not songs I imported they were songs that were already in my library).  Not sure if this makes semse...help.

    jamie171 wrote:
    My question is since I have imported them into my iTunes library from one computer why can't I access them from my iTunes library from another computer that I have authorized to access whats in my library?  Is there no way to import songs only once into the library and then access them from all my authorized computers?
    Only if you have iTunes Match or of the computers are on the same local network.

  • In address book how do I move all cards from my 'last import' smart group into a new group?

    In Address Book, how do I move all cards from my 'last import' smart group into a new group that I will create? So far I am not being allowed to Edit Smart Group!
    Thank you x

    Simon
    You’re going to have a very big problem and very soon. These missing pics are the beginning of trouble.
    the total size of all my folders on my 60gb internal drive is 46.5 gb, yet only 1.9 gb is available,
    OS X needs about 10 gigs of free space on the hard drive for normal OS operations such as virtual memory and temporary files. Without this space the machine slows down as the OS hunts for free space, files become fragmented and applications begin to crash. The risk of data corruption increases exponentially.
    You must, as a matter of urgency, make space on the drive. I cannot stress this enough.
    You may be able to recover the pics from your camera card using an app such as MediaRecover
    Regards
    TD

  • How can I import a movie into iMovie 09 from a hard drive?  The movie will open and play in idvd but breaks into separate files that can't be downloaded when I try to import.  Can it be done?

    How can I import a movie into iMovie 09 from a hard drive?  The movie will open and play in idvd but breaks into separate files that can't be downloaded when I try to import.  Can it be done? I am trying to create a disc of player highlights for a collegiate coach, and I am using movie files downloaded to my hard drive from a DVD created on a PC. 

    No unfortunately it won't open in quicktime either.  It does the same thing that Imovie does, separates it into two file folders audio and video, and if i select video it opens to reveal 8 files that cannot be selected.  VIDEO_TS.BUP, VIDEO_TS.IFO, VIDEO_TS.VOB,VTS_01_0.BUP, VTS_01_0.IFO, VTS_01_1.VOB, VTS_01_2.VB, VTS_01_3.VOB.  All of which cannot be opened or selected.
    Opening it in Idvd and folllowing your suggestion works and I get a format code of NTSC.  Is that the same?  Thank you for your time and response.
    CaCanuck

  • I am importing a cd into itunes and it is creating 4 different albums. How can I get it all in one album?

    I am importing a CD into itunes and it is creating 5 different albums.
    1 album for most of the songs and 4 other albums for single songs from
    the CD. How can I get itunes software to put all the songs into 1 album?

    Generally all you need to do is fill in an appropriate Album Artist. For more details see my article on Grouping Tracks Into Albums, in particular the topic One album, too many covers.
    tt2

  • I shot video on a Panasonic P2 and I'm trying to import the MXF files to Final Cut Pro but when I try to import, the only thing that imports is a blurry image of each clip. There's no audio and no video...how can I import MXF files into Final Cut Pro?

    I shot video on a Panasonic P2 and I'm trying to import the MXF files to Final Cut Pro but when I try to import, the only thing that imports is a blurry image of each clip. There's no audio and no video...how can I import MXF files into Final Cut Pro?

    at the end, you say "import preferences", which program are you describing?
    So im safer using footage that is remotely being pulled from iphoto...
    vs
    using footage that is imported into FCP from imovie?
    Is there anything i can do in fcp to make this footage more reliable or safer or more stable as i pull remotely from iphoto?
    All the footage, and all the libraries to all programs, or on a new pegasus 12tb raid 5 setup fyi.

  • How to import Verilog codes into LabVIEW FPGA?

    I tried to import Verilog code by instantiation followed by the instruction in http://digital.ni.com/public.nsf/allkb/7269557B205B1E1A86257640000910D3, 
    but still I can see some errors while compiling the VI file.
    Simple test Verilog file is as follows:
    ==============================
    module andtwobits (xx, yy, zz);
    input xx, yy;
    output reg zz;
    always @(xx,yy) begin
    zz <= xx & yy;
    end
    endmodule
    ==============================
    and after following up the above link, we created the instantiation file as
    ==============================================
    library ieee;
    use ieee.std_logic_1164.all;
    entity mainVHDL is
    port(
    xxin: in std_logic;
    yyin: in std_logic;
    zzout: out std_logic
    end mainVHDL;
    architecture mainVHDL1 of mainVHDL is
    COMPONENT andtwobits PORT (
    zz : out std_logic;
    xx : in std_logic;
    yy : in std_logic);
    END COMPONENT;
    begin
    alu : andtwobits port map(
    zz => zzout,
    xx => xxin,
    yy => yyin);
    end mainVHDL1;
    ==============================================
    Sometimes, we observe the following error when we put the indicator on the output port,
    ERROR:ConstraintSystem:58 - Constraint <INST "*ChinchLvFpgaIrq*bIpIrq_ms*" TNM =
    TNM_ChinchIrq_IpIrq_ms;> [Puma20Top.ucf(890)]: INST
    "*ChinchLvFpgaIrq*bIpIrq_ms*" does not match any design objects.
    ERROR:ConstraintSystem:58 - Constraint <INST "*ChinchLvFpgaIrq*bIpIrq*" TNM =
    TNM_ChinchIrq_IpIrq;> [Puma20Top.ucf(891)]: INST "*ChinchLvFpgaIrq*bIpIrq*"
    does not match any design objects.
    and interestingly, if we remove the indicator from the output port, it sucessfully compiles on the LabVIEW FPGA.
    Could you take a look at and please help me to import Verilog to LabVIEW FPGA?
    I've followed the basic steps of instantiation on the above link, but still it won't work.
    Please find the attachment for the all files.
    - andtwobits.v : original Verilog file
    - andtwobits.ngc: NGC file
    - andtwobits.vhd: VHD file after post-translate simulation model
    - mainVHDL.vhd: instantiation main file
    Since there is no example file for Verilog (there is VHDL file, but not for Verilog), it is a bit hard to do the simple execution on LabVIEW FPGA even for the examples.
    Thank you very much for your support, and I'm looking forward to seeing your any help/reply as soon as possible.
    Bests,
    Solved!
    Go to Solution.
    Attachments:
    attach.zip ‏57 KB

    Hi,
    I am facing problem in creating successfully importing  VHDL wrapper file for a Verilog module,into LabVIEW FPGA using CLIP Node method. Please note that:
    I am working on platform SbRIO-9606.
    Labiew version used is 2011 with Xilinx 12.4 compiler tools
    NI RIO 4.0 is installed
    Xilinx ISE version installed in PC is also 12.4 webpack ( Though I used before Xilinx 10.1 in PC for generating .ngc file for verilog code FOR SbRIO 9642 platform, but problem remains same for both versions)
    Query1. Which versions of Xilinx ISE (to be installed in PC for generating .ngc file) are compatible with Labview 2011.1(with Xilinx 12.4 Compiler tools)? Can any version be used up to 12.4?
    Initially I took a basic and gate verilog example to import into LabVIEW FPGA i.e. simple_and.v and its corresponding VHDL file is SimpleAnd_Wrapper.vhd
    ///////////////// Verilog code of “simple_and.v”//////////////////////
    module simple_and(in1, in2, out1);
       input in1,in2;
       output reg out1;
       always@( in1 or in2)
       begin
          out1 <= in1 & in2;
       end
    endmodule
    /////////////////VHDL Wrapper file code of “SimpleAnd_Wrapper.vhd” //////////////////////
    LIBRARY ieee;
    USE ieee.std_logic_1164.ALL;
    ENTITY SimpleAnd_Wrapper IS
        port (
            in1    : in std_logic;
            in2    : in std_logic;
            out1   : out std_logic
    END SimpleAnd_Wrapper;
    ARCHITECTURE RTL of SimpleAnd_Wrapper IS
    component simple_and
       port(
             in1    : in std_logic;
             in2    : in std_logic;
             out1   : out std_logic
    end component;
    BEGIN
    simple_and_instant: simple_and
       port map(
                in1 => in1,
                in2 => in2,
                out1 => out1
    END RTL;
    Documents/tutorials followed for generating VHDL Wrapper file for Verilog core are:
    NI tutorial “How do I Integrate Verilog HDL with LabView FPGA module”. Link is http://digital.ni.com/public.nsf/allkb/7269557B205B1E1A86257640000910D3
    In this case, I did not get any vhdl file after “post-translate simulation model step” in netlist project using simple_and.ngc file previously generated through XST. Instead I got was simple_and_translate.v.
    Query2. Do I hv to name tht “v” file into “simple_and.vhd”?? Anyways it did not work both ways i.e. naming it as “simple_and with a “v” or “vhd” extension. In end I copied that “simple_and.v” post translate model file, “simple_and.ngc”, and VHDL Wrapper file “SimpleAnd_Wrapper.vhd” in the respective labview project directory.
    Query3. The post-translate model file can  also be generated by implementing verilog simple_and.v  file, so why have to generate it by making a separate netlist project using “simple_and.ngc” file? Is there any difference between these two files simple_and_translate.v generated through separate approaches as I mentioned?
    2. NI tutorial “Using Verilog Modules in a Component-Level IP Design”. Link is https://decibel.ni.com/content/docs/DOC-8218.
    In this case, I generated only “simple_and.ngc” file by synthesizing “simple_and.v “file using Xilinx ISE 12.4 tool. Copied that “simple_and.ngc” and “SimpleAnd_Wrapper.vhd” file in the same directory.
    Query4. What is the difference between this method and the above one?
    2. I followed tutorial “Importing External IP into LABVIEW FPGA” for rest steps of creating a CLIP, declaring it and passing data between CLIP and FPGA VI. Link is http://www.ni.com/white-paper/7444/en. This VI executes perfectly on FPGA for the example”simple_and.vhd” file being provided in this tutorial.
    Compilation Errors Warnings received after compiling my SimpleAnd_Wrapper.vhd file
    Elaborating entity <SimpleAnd_Wrapper> (architecture <RTL>) from library <work>.
    WARNING:HDLCompiler:89"\NIFPGA\jobs\WcD1f16_fqu2nOv\SimpleAnd_Wrapper.vhd"    Line 35: <simple_and> remains a black-box since it has no binding entity.
    2. WARNING:NgdBuild:604 - logical block 'window/theCLIPs/Component_ dash_Level _IP_ CLIP0/simple_and_instant' with type   'simple_and' could not be resolved. A pin name misspelling can cause this, a missing edif or ngc file, case mismatch between the block name and the edif or ngc file name, or the misspelling of a type name. Symbol 'simple_and' is not supported in target 'spartan6'.
    3. ERROR:MapLib:979 - LUT6 symbol   "window/theVI/Component_dash_Level_IP_bksl_out1_ind_2/PlainIndicator.PlainInd icator/cQ_0_rstpot" (output signal=window/theVI/ Component_dash_Level _IP_bksl_out1_ ind_2/PlainIndicator.PlainIndicator/cQ_0_rstpot) has input signal "window/internal_Component_dash_Level_IP_out1" which will be trimmed. SeeSection 5 of the Map Report File for details about why the input signal willbecome undriven.
    Query5. Where lays that “section5” of map report? It maybe a ridiculous question, but sorry I really can’t find it; maybe it lays in xilnx log file!
    4. ERROR:MapLib:978 - LUT6 symbol  "window/theVI/Component_dash_Level_IP_bksl_ out1_ind_2/PlainIndicator.PlainIndicator/cQ_0_rstpot" (output signal= window / theVI/Component_dash_Level_IP_bksl_out1_ind_2/PlainIndicator.PlainIndicator/ cQ_0_rstpot) has an equation that uses input pin I5, which no longer has a connected signal. Please ensure that all the pins used in the equation for this LUT have signals that are not trimmed (see Section 5 of the Map Report File for details on which signals were trimmed). Error found in mapping process, exiting.Errors found during the mapping phase. Please see map report file for more details.  Output files will not be written.
    Seeing these errors I have reached the following conclusions.
    There is some problem in making that VHDL Wrapper file, LabVIEW does not recognize the Verilog component instantiated in it and treat it as unresolved black box.
    Query6. Is there any step I maybe missing while making this VHDL wrapper file; in my opinion I have tried every possibility in docs/help available in NI forums?
    2. Query7. Maybe it is a pure Xilinx issue i.e. some sort of library conflict as verilog module is not binding to top VHDL module as can be seen from warning HDLCompiler89. If this is the case then how to resolve that library conflict? Some hint regarding this expected issue has been given in point 7 of tutorial “How do I Integrate Verilog HDL with LabView FPGA module”. http://digital.ni.com/public.nsf/allkb/7269557B205B1E1A86257640000910D3. But nothing has been said much about resolving that issue.  
    3. Because of this unidentified black box, the whole design could not be mapped and hence could not be compiled.
    P.S.
    I have attached labview project zip folder containing simple_translate.v, simple_and_verilog.vi file,SimpleAnd_Wrapper.xml,  Xilinx log file after compilation alongwith other files. Kindly analyze and help me out in resolving this basic issue.
    Please note that I have made all settings regarding:
    Unchecked add I/O buffers option in XST of Xilinx ISE 12.4 project
    Have set “Pack I/O Registers into IOBs” to NO in XST properties of project.
    Synchronization registers are also set to zero by default of all CLIP I/O terminals.
    Please I need speedy help.Thanking in you in anticipation.
    Attachments:
    XilinxLog.txt ‏256 KB
    labview project files.zip ‏51 KB

  • How to import .pdf files into iBooks?

    How to import .pdf files into iBooks?

    Or send the file from your computer to your ipod by emailing yourself the pdf. Then if you open the Mail client and you open the pdf there is an option to select open in ibooks. It will save it to your device for remote viewing.

  • [CS5.5] How to import a snippet into the current page

    Hi All,
    I got the IDOMElement from the document UIDRef and imported the snippet into it. Here's the code-
    InterfacePtr<IDOMElement> documentElement(docRef, UseDefaultIID());
    if (documentElement == NULL)
        break;
    if ((status = Utils<ISnippetImport>()->ImportFromStream(tStream, documentElement)) == kSuccess)
        //success
    ( tStream is the IPMStream of the snippet I'm importing)
    This code above is able to import the snippet successfully to the first page of the active document, but I want it to be imported in the current active page instead. So for this, I tried getting the IDOMElement from the current page's UIDRef instead (logically I thought that this will import the snippet to the current page)-
    InterfacePtr<IDOMElement> documentElement(currentPageUIDRef, UseDefaultIID());
    But the result is just the same— the documentElement is not null, but the snippet is imported to the first page only.
    May be that the concept of IDOMElement is not clear to me, but I cant find any documentation of it in the SDK guides too. Can anyone explain to me what's an IDOMElement and how can I import a snippet to the current page?
    Any help will be highly appreciated. Thanks.

    @mfreitag, thanks a lot for your reply.
    I havent tried it yet, will let you know after I try it- but I want to ask that how will that help me placing elements to the page?   Can you please elaborate a bit.
    Actually in CS3, I used to follow this implementation to place the snippet into the current page-
    1. Create a dummy textframe in the current page (needed just to create a group).
    2. Create a Group using that dummy frame.
    3. Get the IDOMElement of the UIDRef of that group-
       InterfacePtr<IDOMElement> documentElement(groupUIDRef, UseDefaultIID());
    4. Import snippet to this DOM Element
    5. Delete the dummy frame
    The snippet items were added into the group beautifully in the active page. But when I was porting the plugin to CS5.5, steps after step-3 failed, and the snippet is imported to the 1st page/spread of the document.
    I'm not sure, but seems that things related to IDomElement are changed for CS3+. May be you have an idea whether I could use the similar implementation to get this thing work?

  • Imported slide show into PE11 and some pics rotated unexpectedly, How can get back to horizontal?

    Imported slide show into PE11 and some pics rotated unexpectedly, How can get back to horizontal?

    winkhi
    Just what are the pixel dimensions of these photos that are automatically rotating on you in Premiere Elements 11 workspace after being transferred there from the Elements Organizer Slideshow Editor? Do I have that correct? If so, how are you making the transfer....Edit with Premiere Elements Editor, Burn to Disc, or other as Elements Organizer 11 Slideshow Editor output?
    What is the scenario...from the camera to the computer hard drive, landscape; from the computer hard drive to the Elements Organizer 11 Slideshow Editor, landscape; from the Elements Organizer 11 Slideshow Editor to Premiere Elements 11 Project Assets/Timeline, rotated to Portrait?
    Do you find that the frequency of the problem is related to pixel dimensions, number of photos used? What is the format of these photos, jpg or other?
    Are you using the Rotation option in the Applied Effects Tab/Applied Effects Palette/Motion Panel expanded to correct the photo rotation?
    Just some thoughts for now.
    ATR
    ATR

  • How do I import a .PDF into inDesign?

    How do I import a .PDF into inDesign? Does anybody know how? I need to edit a book in inDesign that is a .PDF. So if anyone know how to do that please tell me.

    Bob, Peter, grmg, many thanks for your very helpful comments.  Delighted mac/pc not relevant.  Will scratch that from list of herrings to chase.
    Re PDFs - actually, I'm working in Acrobat (not just a finalized PDF), and Acrobat does of course allow editing of a sort; I'd concluded that since Adobe is willing to tolerate that degree of editing with its product, it should therefore tolerate moving its native format (*.pdf) into another of its own native formats (*.indd). I see that's a misconception.
    This morning I tried all flavors I could find of getting my Acrobatted content into ID3, including copy/paste, place, and import (from the ID side); none was satisfactory.  Output from Acrobat to Word and then placing Word was fine for English but destroyed the Greek - not just wrong font, but complete gobbledygook and loss of all diacriticals.  Names of fonts don't matter for my purposes; the legibility of the English, and the legibility and tonic accuracy of the Greek do matter. Author of this project is not tech-savvy and is using old Greek system as well; nothing he or i can do to fix that.
    Current plan is to request author to provide me his native files, which will be Mac files.  Am I understanding the Import dialog and your collecitve comments to suggest that with that file resident on my PC (and unopened), I can use the Place dialog, with "Show Options" selected, to get the Mac file into ID?
    Chapeaux to those of you with constructive comments - hugely appreciated.
    YC

  • How to import epub/pdf into macvericks' ibooks ?

    how to import epub/pdf into macvericks' ibooks ?

    Placing the PDF into an InDesign document shouldn't be a problem, but if it is, please post back. What I think you're asking for is how to edit a PDF with InDesign, which can't be done. You would need the source document, edit that and then export to another PDF (if PDF is the end goal). There are some programs that can edit PDFs or convert PDFs to other formats (Word, InDesign, etc.), and they will work to one extent or another. You should expect to have to rework parts of it should you go that way. Keep in mind that PDF is generally considered a final document that isn't intended to be edited.

  • How to import the IDOC into Seeburger BIC mapping designer

    Hi All,
    Can u please tell me how to import the IDOC into Seeburger BIC mapping desginer.
    I have scenario My scenario is SAP GTS 7.0.....>Seeburger BIS.....>Atlas customs system for Germany
    Idoc coming from SAP GTS to Seeburger BIS and then convert to EDIFACT send
    to Atlas systeam.
    Regards,
    Ramesh.

    Hi Ramesh
    BIC is a tool from Seeburger to transmit the XML data to EDI and EDI to XML.
    Seeburger mapping programs for transferring the XML data to EDI data and EDI data to XML data, but not for the structure changing, in the IR your mapping program is same.
    Receiver side you need AS2 Adapter for converting data to EDI target structure. we have many EDI versions are available, for converting the EDI to XML or vice versa AS2 gives some default mapping programs, xml to EDI or EDI to XML convertion done through this mapping programs.
    Seeburger AS adapter provider provides some mapping programs(for example EDI4010 version or other), if you are using the same EDI version(4010) then you can use that mapping programs, if the version is different then we can manually generate the Seeburger X2E and E2X mappings for the corresponding version and signal(850 or 810 or ..), for this seeburger provides the mapping designer tool (BIC), there we can develope the X2E and E2X mapping programs and configure this in the Seeburger work bench.
    Regards
    Ramesh

Maybe you are looking for

  • What can I do to add animated gif to my Button

    hi everybody, I have a JButton, which is used as a 'search button'. I want my search button to show an animated globe gif when search is going on. I have my code as below. JButton searchButton = new JButton(MyUtilities.searchIcon()); ImageIcon animat

  • Please guide me how to delete the OLD claimed trip details

    Hi SAP Gurus We have corrected the all the cliams by newly created an posted properly today , how ever they are struck now and since they are form old employees (2007-2008) , few are still active / terminated/ retiree staus cant delete them nor make

  • IOS7 update my story, some fixes.

    Hi Everyone, I want to share my experience of the update to iOS7. I include here all that I know so if it's not here then I don't know so please contact Apple support or an Apple store. My Apple phone is a UK iPhone 5. My PC is a Laptop running Windo

  • Cost center being posted in another co code

    HI, I am facing a peculiar problem. I am able to post to a cost center which is assigned to som eother comany code. For example: I have 2 com codes, X1 and X2. I have assigned cost center CC1 to X1 but still I am able to post it to X2. Your immediate

  • Monitor out of focus

    I have recently purchased a MacBook Pro referb which has worked fine for two months. Suddenly the monitor has started to go out of focus (esp type) in patchy areas. Oddly, when i move the cursor halfway down the monitor it appears to move up one pixe