Importing a filmstrip into PPro, or wire removal

Hi folks. I've just got around to re-editing a short film I made some years ago. It was shot in Panasonic P2 medium high-def (1280x720), but was originally edited in standard-def. I now have the opportunity to re-edit in the native source format. So far, so good, and nearly everything has imported from the old PPro 2 project - I've spent the day re-linking clips to the media files and it looks pretty good.
There was a "flying" stunt in the film done with harnesses and wires strung between trees in the forest where the story took place. In the original edit, I exported these sequences to a photoshop filmstrip format and painted out the wires, then re-imported the filmstrip back into the project.
PPro CS6 doesn't want to co-operate. When was the .flm format dropped as an import feature?
Is there a plug-in to allow this filmstrip to be imported, or am I going to do the wire removal again? I don't mind removing wires again - it'll probably look much better considering the original export/import was standard-def, but I still need to see the exact edit in and out points of the original footage if possible.
cheers
Bernie Dwyer

Yes, look for CC Simple Wire Removal. Here's the Help documentation: http://cycorefx.com/downloads/cfx_hd_std/CycoreFX%201.7%20Manual.pdf

Similar Messages

  • Can't Import Mov file into Ppro CS4

    I have imported all sorts of mov files but one is from a SONY V1 and won't import. I get an error message saying there is a codec missing. In VLC player it takes along time to play and  it says the codec is MPEG-1/2 video (mpgv), 1440x1080, 29.97. In QT player the video is black but the audio is fine. How can I import this into Ppro?

    Do you have QT Player Pro (US$30 upgrade/unlock)? If so, load the file, and hit Ctrl+J for the properties panel. QT Player has a limited properties screen, but I do not recall the keystroke. Also, QT Player Pro, if it will play the file, can also Export to other formats/CODEC's, and I use it for that purpose often.
    Also, the free MediaInfo might do better, than G-Spot.
    Without knowing the exact CODEC, looking for it might prove difficult.
    Also, VLC comes with a bunch of internal players and CODEC's, so it can play stuff, that will not work in other apps., which require the installed CODEC's. There are several Apple-only CODEC's, that do not have any PC counterpart. In that case, you'd need to Open that file on a Mac, with the proper CODEC, and then Export (basically converting) it to another format/CODEC.
    Good luck,
    Hunt
    PS - here is an ARTICLE, that might offer a bit more background on CODEC's.

  • Importing *.flm.PSD filmstrip into AE v7 - help?

    I'm using After Effects v7 (am just beging to learn how to find my way around this site), don't even know if I'm posting in the proper forum or not, but here goes -
    I've inported a ~2 second video clip from Premiere Pro v2 into Protoshop CS2 (imported as a filmstrip in *.flmroj format, but when I was doing this editing, I saved it in *.flmroj.PSD format while working on it) where I edited out unwanted objects from the scenery background in photoshop, and I'm just about ready to import this into AE so I can add characters to the senery and make them move, and after this import the finished file back to either PPro or Audition v2 for adding sound clips.
    So how exactly do I import this filmstrip into AE?
    How do I add the characters - they will be imported both from another filmstrip.flmroj.psd project (say, inserting the cat that was video taped back when it were alive for example) and inserting the cat as sitting behind a table and demonstrating how a new hi-tech gadget that only recently came on the market (like an ipad) works?   
    I'm using this on a Dell XPS M1530 laptop, specs -  a 256 MB Nvidia Geforce 8600M GT, Core 2 Duo @ 2 GHz, Win XP_sp3, 4 GB RAM, 300 GB HDD @ about 75 GB used space, remaining space is free, 3 USB ports, and I have a self-powered Seagate 1 TB external hard drive 
    I now have in my tech library (this is at home, in my office) Adobe After Effects v7 books that I bought recently, have leafed thru one of them but not sure where to look in them, books are After Effects v7 Classroom in a book, Creative After Effects v7 by Angie Taylor, and After Effects v7 Studio Technigues by Mark Christensen - can you guys please point me to the right place in the right book or tell me exactly what I have to do in this situation?
    Thanks in advance.
    EDIT - the cat's videos are say, on another DVD that was created by a relative that liked to play with and video tape their cat.
    Also, I do not want these characters to be the cartoony kind, but the real life kind.

    I know that filmstrip support existed once in AE, but it has long been removed. Still, if I remember correctly, it was export only, so what you are trying to do wouldn't work based on that format. You'd need to export an image sequence out of Premiere and then import that in AE. Also one wouldn't really involve PS - you'd do it all in AE using masks and paint tools. It may have been more difficult without Rotobrush and other fancy features of the current AE versions, but it sure wasn't impossible.
    Mylenium

  • Difference in AE file Imported into PPro with MPE in Hardware vs. Software Mode

    I am getting an undesireable result when importing AE files into a PPro Sequence with MPE in hardware mode. The top (or left) pic is accurate, with MPE in Software mode (nice soft glow). In the bottom pic, MPE is in Hardware mode. Note the undesireable (and inaccurate) harder glow. These AE files are output in an uncompressed AVI with alpha channel. The pics below were imported into PPro with the AE file placed over a black clip in PPro (Video Layer 1=Black. Video Layer 2= AE text w/Alpha). Any thoughts, or better yet, solutions?!   

       Jim, so you're suggesting that I create my graphics in PPro instead of AE? That's not going to work! I must be one of the few people out there that output AE files with an alpha channel and import them into PPro, for I can't imagine Adobe not addressing this if many people were using AE & PPro together in this fashion. I guess I'm stuck having to continue to use MPE in software mode only (alpha channels work perfectly in this mode, but I have to do a lot of rendering.). I used to blame Matrox for this problem. Sure glad I haven't upgraded to a Titan!
       BTW, I get the same undesireable results in MPE Hardware Mode when using Dynamic Linked Comps to AE.
       One last question: does the quality of the video card make any difference with this alpha channel problem I'm having with MPE? I'd buy a Titan card right now if that would solve my problem!

  • Unsupported format or damaged file? Importing Avid AAF files into PPro 4.1

    I have been trying all day to import Avid aaf into Premiere Pro 4.1.0. I went to Avid and Adobe forums and sites and thought I had it figured out by following the video tutorial on Adobe TV - "Open Workflows Between Avid and Adobe CS4" His Avid was running on a Mac on the tutorial. I believe I followed it exactly but I get an "Unsupported Format or Damaged File" message.  I run ver.4.1.0 on a WINDOWS XP machine.
    sSD 720x486 drop frame - no HD.  I am new to Adobe and Pro but I am loving After Effects and would love to transfer some of my old Avid footage over. Here is what I have been doing:
    In Avid
    I Select sequence on timeline
    File
    Export As
    Save In: Desktop
    I Create an .aaf file name
    Export Setting: Link Audio and Video
    Options - Export As: AAF
    Export Method: Link to (Don't Export) Media - including all Video and Audio Tracks
    Save then Save
    In Premiere I double click in project bin
    Import - .aaf file from desktop - The project and files come up - The Audio plays fine but in program monitor a red box has  " Media Offline"
    Status:  Offline Importer Can't Open
    On the new project file I right click - Link Media  - Find my Avid media on my EXTERNAL Avid media drive
    Avid Media - Avid Media Files - MXF - 1- Find file by "Display Only Exact Name Matches" -
    Click on the correct .MXF file  to link to then - Select  - and get the "Unsupported Format or Damaged File" message.
    This is my first post and I usually can figure things out but I am stumped.  If anyone has had this come up or has any input for me I would be deeply appreciative. Thank you in advance.

    For what its worth. I shot some DV 720x480 in 4:3 and 16:9 with my little DV camera and imported footage into my Avid MC. I repeated my earlier - posted steps and when I created and opened up the project in Ppro, this time not only did the sequence and files open up but my footage was on the timeline and I was able to play it without having to link to my Avid Media files! 
    When I tried before, all my footage was originated on BetaSP and DigiBeta at NTSC 720x486. Cannot Ppro bring in NTSC 720x486 or does it have to be NTSC DV 720x480
    As I mentioned before I am a newbie to Ppro. When I created a new project and brought my 16:9 footage in, the source monitor is 16:9 but my sequence is in 4:3. In the Program Monitor I can click and hold the frame and move the 16:9 around within the 4:3 frame. Why is it not letting me see the full 16:9 frame.  I created the project in 16:9. Any suggestions? Thanks

  • Importing DSLR mov format into PPRO-CC

    Hi, I'm new to PPRO CC, so I might do something wrong here. I have a Canon 6D and when I move the movies into PPRO, then I'm not able to create a sequence. I can see and play the movies in the media browser, I can move it to the source monitor, make an in and out point, but I'm not able to do any editing at all. The movi format is:
    Type: MPEG Movie
    File Size: 27,5 MB
    Image Size: 1280 x 720
    Frame Rate: 25,00
    I appreciate any suggestions.
    Thank you
    /Peter

    Hi
    Thank you for your replies. I don't have the shown container I can move the movi into.
    When I try to move the movi into the sequence line I get an icon with a hand and a cicly with  line across it which indicate tht I'm not allow to do this action. You can also see at the image above that when I right click on the movi I should have the option to select "move to sequence" but I don't-
    Thank you for your help.
    /Peter

  • How do I import animated gifs into Premiere with a transparent background?

    I have an animated gif that I got from the internet, and I'm trying to import it into Premiere Pro with a transparent background. The gif is of a video game character standing still and blinking. Whenever I import it, it always has a white background, so I need help on how to change that.
    I've gone into Photoshop and removed the white background frame by frame, making it transparent. I do this by using the magic wand tool to select the white background, then hit "delete" to turn it into that diamond grid, which means it's transparent. I then select File -> Save for Web. I preview every frame, and it shows that the backgrounds are transparent. I save it as a gif. But when I import it into Premiere Pro, the white background is still there.
    I've read many forums online about people trying to import images into Premiere Pro with a transparent background, but none of them have explained how to do it specifically for animated gifs. Every answer has always been "export the image as a png, that'll work", and sure enough, I exported a few frames of the gif as pngs, and those few frames had transparent backgrounds in Premiere Pro. But I don't want a png because those aren't animated sequences, those can only be still images. I need to export it as a gif so that it will remain animated, but gif files always have that dreaded white background in Premiere Pro.
    I suppose I could export every frame as a png, import each of those frames into Premiere Pro, then string all the frames together and tweak them so they'll be functionally identical to the animated gif, but that would take forever. I'm making a video project and I need numerous animated gifs to work with, so I don't want to do that with every single one of them. I'm looking for a way to simply import the gifs into Premiere Pro and be done with it. If there is any way to do this, please help me.
    -Robert

    faind on gif transparency and other cartoon animation problems : Adobe After Effects
    " The reason: GIFs don't support alpha channels. Instead, their file structure assigns a certain color value to be read as totally transparent. There aren't any degrees of transparency as you find in graphics formats that do support alpha channels.
    To use an animated gif in AE, you'd first have to create the areas of transparency, then convert the series of images into a graphics format that supports alpha channels, and there are a bunch: PICT, TIFF, TARGA or PNG, to name a few."

  • Problem importing ics files into iCal

    Importing ics files into iCal is the problem, but first here is the background on why I'm trying to import.
    I have an iMac and iPad, and recently changed my iPhone 3G for an iPhone 4S.  Having got the iPhone 4S I have now set up all my devices to use iCloud.  In moving iCal to iCloud an extra calendar was created "automatically" in iCal, called "Me".  This "Me" calendar contains a partial duplicate of my main calendar, which is called "My Calendar".  In fact, some of the events from "My Calendar" seem to have been moved to "Me", and some have been duplicated.
    It seems as it I now need to merge the "Me" and "My calendar" calendars to get back to where I was.
    I have tried to export one of the calendars and then re-import it into the other.  The export seems to work fine, but every single time I try to re-import the ics file iCal crashes at the end of the import process, and the import is not completed.
    Can anyone help?  This has been driving me absolutely crazy today!
    I even tried manually moving events from one calendar to another, but they reappeared.  I think this was because my iPhone/iPad were re-syncing via iCloud and overwriting the changes I had just made.
    I don't mind if I end up with one calendar with duplicates as I can remove them with iCal Dupe Deleter.
    Or if there are any other alternative solutions I'd love to hear them!
    All help greatly appreciated!
    (P.S. Is it just me, or is Apple software slowly getting as unreliable as Windows used to be?  I get more problems with software updates now than when I first got a Mac about 8 years ago!)

    Not a great answer but I dragged the attachment either to the finder - app - ical or the icon. It placed it on the calendar. I clicked in and accepted. So, no auto populate. Need to drag and drop. So glad I paid the 20 bucks to upgrade to 10.8

  • Error message when trying to import a CD into iTunes

    I get an error message when I try to import a CD into iTunes that says the disk cannot be found. I recently requested to uninstall Tune-up because I kept receiving annoying messages to purchase the software. It looks like Tune-up is deactivated, but now I can't import music. Any suggestions?

    Without much to go on I think your drive is having problems.  It may be something minor such as dust which could possibly be removed using a drive cleaning disc (computer supply store or CD store), or it could be an irregular error in a laser, or tracking, or loose cable, or...
    One way to eliminate any iTunes possibility is if it is consistently doing this with a particular CD then try an alternative ripping tool such as XLD or Max.

  • How to import Verilog codes into LabVIEW FPGA?

    I tried to import Verilog code by instantiation followed by the instruction in http://digital.ni.com/public.nsf/allkb/7269557B205B1E1A86257640000910D3, 
    but still I can see some errors while compiling the VI file.
    Simple test Verilog file is as follows:
    ==============================
    module andtwobits (xx, yy, zz);
    input xx, yy;
    output reg zz;
    always @(xx,yy) begin
    zz <= xx & yy;
    end
    endmodule
    ==============================
    and after following up the above link, we created the instantiation file as
    ==============================================
    library ieee;
    use ieee.std_logic_1164.all;
    entity mainVHDL is
    port(
    xxin: in std_logic;
    yyin: in std_logic;
    zzout: out std_logic
    end mainVHDL;
    architecture mainVHDL1 of mainVHDL is
    COMPONENT andtwobits PORT (
    zz : out std_logic;
    xx : in std_logic;
    yy : in std_logic);
    END COMPONENT;
    begin
    alu : andtwobits port map(
    zz => zzout,
    xx => xxin,
    yy => yyin);
    end mainVHDL1;
    ==============================================
    Sometimes, we observe the following error when we put the indicator on the output port,
    ERROR:ConstraintSystem:58 - Constraint <INST "*ChinchLvFpgaIrq*bIpIrq_ms*" TNM =
    TNM_ChinchIrq_IpIrq_ms;> [Puma20Top.ucf(890)]: INST
    "*ChinchLvFpgaIrq*bIpIrq_ms*" does not match any design objects.
    ERROR:ConstraintSystem:58 - Constraint <INST "*ChinchLvFpgaIrq*bIpIrq*" TNM =
    TNM_ChinchIrq_IpIrq;> [Puma20Top.ucf(891)]: INST "*ChinchLvFpgaIrq*bIpIrq*"
    does not match any design objects.
    and interestingly, if we remove the indicator from the output port, it sucessfully compiles on the LabVIEW FPGA.
    Could you take a look at and please help me to import Verilog to LabVIEW FPGA?
    I've followed the basic steps of instantiation on the above link, but still it won't work.
    Please find the attachment for the all files.
    - andtwobits.v : original Verilog file
    - andtwobits.ngc: NGC file
    - andtwobits.vhd: VHD file after post-translate simulation model
    - mainVHDL.vhd: instantiation main file
    Since there is no example file for Verilog (there is VHDL file, but not for Verilog), it is a bit hard to do the simple execution on LabVIEW FPGA even for the examples.
    Thank you very much for your support, and I'm looking forward to seeing your any help/reply as soon as possible.
    Bests,
    Solved!
    Go to Solution.
    Attachments:
    attach.zip ‏57 KB

    Hi,
    I am facing problem in creating successfully importing  VHDL wrapper file for a Verilog module,into LabVIEW FPGA using CLIP Node method. Please note that:
    I am working on platform SbRIO-9606.
    Labiew version used is 2011 with Xilinx 12.4 compiler tools
    NI RIO 4.0 is installed
    Xilinx ISE version installed in PC is also 12.4 webpack ( Though I used before Xilinx 10.1 in PC for generating .ngc file for verilog code FOR SbRIO 9642 platform, but problem remains same for both versions)
    Query1. Which versions of Xilinx ISE (to be installed in PC for generating .ngc file) are compatible with Labview 2011.1(with Xilinx 12.4 Compiler tools)? Can any version be used up to 12.4?
    Initially I took a basic and gate verilog example to import into LabVIEW FPGA i.e. simple_and.v and its corresponding VHDL file is SimpleAnd_Wrapper.vhd
    ///////////////// Verilog code of “simple_and.v”//////////////////////
    module simple_and(in1, in2, out1);
       input in1,in2;
       output reg out1;
       always@( in1 or in2)
       begin
          out1 <= in1 & in2;
       end
    endmodule
    /////////////////VHDL Wrapper file code of “SimpleAnd_Wrapper.vhd” //////////////////////
    LIBRARY ieee;
    USE ieee.std_logic_1164.ALL;
    ENTITY SimpleAnd_Wrapper IS
        port (
            in1    : in std_logic;
            in2    : in std_logic;
            out1   : out std_logic
    END SimpleAnd_Wrapper;
    ARCHITECTURE RTL of SimpleAnd_Wrapper IS
    component simple_and
       port(
             in1    : in std_logic;
             in2    : in std_logic;
             out1   : out std_logic
    end component;
    BEGIN
    simple_and_instant: simple_and
       port map(
                in1 => in1,
                in2 => in2,
                out1 => out1
    END RTL;
    Documents/tutorials followed for generating VHDL Wrapper file for Verilog core are:
    NI tutorial “How do I Integrate Verilog HDL with LabView FPGA module”. Link is http://digital.ni.com/public.nsf/allkb/7269557B205B1E1A86257640000910D3
    In this case, I did not get any vhdl file after “post-translate simulation model step” in netlist project using simple_and.ngc file previously generated through XST. Instead I got was simple_and_translate.v.
    Query2. Do I hv to name tht “v” file into “simple_and.vhd”?? Anyways it did not work both ways i.e. naming it as “simple_and with a “v” or “vhd” extension. In end I copied that “simple_and.v” post translate model file, “simple_and.ngc”, and VHDL Wrapper file “SimpleAnd_Wrapper.vhd” in the respective labview project directory.
    Query3. The post-translate model file can  also be generated by implementing verilog simple_and.v  file, so why have to generate it by making a separate netlist project using “simple_and.ngc” file? Is there any difference between these two files simple_and_translate.v generated through separate approaches as I mentioned?
    2. NI tutorial “Using Verilog Modules in a Component-Level IP Design”. Link is https://decibel.ni.com/content/docs/DOC-8218.
    In this case, I generated only “simple_and.ngc” file by synthesizing “simple_and.v “file using Xilinx ISE 12.4 tool. Copied that “simple_and.ngc” and “SimpleAnd_Wrapper.vhd” file in the same directory.
    Query4. What is the difference between this method and the above one?
    2. I followed tutorial “Importing External IP into LABVIEW FPGA” for rest steps of creating a CLIP, declaring it and passing data between CLIP and FPGA VI. Link is http://www.ni.com/white-paper/7444/en. This VI executes perfectly on FPGA for the example”simple_and.vhd” file being provided in this tutorial.
    Compilation Errors Warnings received after compiling my SimpleAnd_Wrapper.vhd file
    Elaborating entity <SimpleAnd_Wrapper> (architecture <RTL>) from library <work>.
    WARNING:HDLCompiler:89"\NIFPGA\jobs\WcD1f16_fqu2nOv\SimpleAnd_Wrapper.vhd"    Line 35: <simple_and> remains a black-box since it has no binding entity.
    2. WARNING:NgdBuild:604 - logical block 'window/theCLIPs/Component_ dash_Level _IP_ CLIP0/simple_and_instant' with type   'simple_and' could not be resolved. A pin name misspelling can cause this, a missing edif or ngc file, case mismatch between the block name and the edif or ngc file name, or the misspelling of a type name. Symbol 'simple_and' is not supported in target 'spartan6'.
    3. ERROR:MapLib:979 - LUT6 symbol   "window/theVI/Component_dash_Level_IP_bksl_out1_ind_2/PlainIndicator.PlainInd icator/cQ_0_rstpot" (output signal=window/theVI/ Component_dash_Level _IP_bksl_out1_ ind_2/PlainIndicator.PlainIndicator/cQ_0_rstpot) has input signal "window/internal_Component_dash_Level_IP_out1" which will be trimmed. SeeSection 5 of the Map Report File for details about why the input signal willbecome undriven.
    Query5. Where lays that “section5” of map report? It maybe a ridiculous question, but sorry I really can’t find it; maybe it lays in xilnx log file!
    4. ERROR:MapLib:978 - LUT6 symbol  "window/theVI/Component_dash_Level_IP_bksl_ out1_ind_2/PlainIndicator.PlainIndicator/cQ_0_rstpot" (output signal= window / theVI/Component_dash_Level_IP_bksl_out1_ind_2/PlainIndicator.PlainIndicator/ cQ_0_rstpot) has an equation that uses input pin I5, which no longer has a connected signal. Please ensure that all the pins used in the equation for this LUT have signals that are not trimmed (see Section 5 of the Map Report File for details on which signals were trimmed). Error found in mapping process, exiting.Errors found during the mapping phase. Please see map report file for more details.  Output files will not be written.
    Seeing these errors I have reached the following conclusions.
    There is some problem in making that VHDL Wrapper file, LabVIEW does not recognize the Verilog component instantiated in it and treat it as unresolved black box.
    Query6. Is there any step I maybe missing while making this VHDL wrapper file; in my opinion I have tried every possibility in docs/help available in NI forums?
    2. Query7. Maybe it is a pure Xilinx issue i.e. some sort of library conflict as verilog module is not binding to top VHDL module as can be seen from warning HDLCompiler89. If this is the case then how to resolve that library conflict? Some hint regarding this expected issue has been given in point 7 of tutorial “How do I Integrate Verilog HDL with LabView FPGA module”. http://digital.ni.com/public.nsf/allkb/7269557B205B1E1A86257640000910D3. But nothing has been said much about resolving that issue.  
    3. Because of this unidentified black box, the whole design could not be mapped and hence could not be compiled.
    P.S.
    I have attached labview project zip folder containing simple_translate.v, simple_and_verilog.vi file,SimpleAnd_Wrapper.xml,  Xilinx log file after compilation alongwith other files. Kindly analyze and help me out in resolving this basic issue.
    Please note that I have made all settings regarding:
    Unchecked add I/O buffers option in XST of Xilinx ISE 12.4 project
    Have set “Pack I/O Registers into IOBs” to NO in XST properties of project.
    Synchronization registers are also set to zero by default of all CLIP I/O terminals.
    Please I need speedy help.Thanking in you in anticipation.
    Attachments:
    XilinxLog.txt ‏256 KB
    labview project files.zip ‏51 KB

  • I imported 635 images into an iPhoto album so that I can share them via a photo-stream. However, iPhoto says there are only 600. When I try to add the missing images it says they are already there. Why can i not see these images in iPhoto?

    I imported 635 images into an iPhoto album so that I can share them via a photo-stream. However, iPhoto says there are only 600. When I try to add the missing images it says they are already there. Why can i not see these images in iPhoto?

    Generally I would not use Facebook for sharing any photos, it compresses the photos substantially, and when you have shadows and dark colours you get visible "bands" where there should be subtle gradients, ie at sunsets and sunrises.
    It sounds like you are using two methods to upload to Facebook:
    1. Sharing from within Aperture, which basically syncs Facebook with your Aperture album, so any changes made at either end gets synced, hence the deletions from Albums, although the original file should still be in your library, just removed rom the album. It is like a playlist in iTunes.
    2. Exporting pics and uploading to Facebook from the browser.
    I am not sure how method 1 gets compressed, but I know that uploading hi-res jpegs to Facebook using method 2 results in poor quality images.
    I wouldn't even bother comparing option 1 or 2, and they will both be poor images once you view them on Facebook, as opposed to viewing uploaded images on proper image sharing / hosting sites.
    Your problem is not with Aperture, it is using Facebook for showing your work.
    If you export pics form Aperture at high res jpegs or TIFFs your images will be fine.
    If you insist to use Facebook as your way to share your work, then your workflow should be this:
    1. Right click images you want to share.
    2. Select Export version.
    3. Export as 100% size and ensure the export settings are set at 100% quality.
    4. Upload this pic into Facebook.
    This will get you the best image size and resolution on Facebook.
    See how you go.

  • Editing HDV footage in AE and bringing it into PPro - What about HD Quality?

    So seeing that chroma key is better in AE, this is what I'm thinking:
    I could clean out the green screen in AE and bring the footage into PPro. So the first question I have is about HD Quality or loss. I mean I'd have to "export" a video from AE and then use that video in PPro no? If so, then will this process degrade the HD quality?
    The other question is:
    What if I simply remove the "green" but not add any "background" in AE. Will I be able to add a background in PPro? Like, is there going to be some sort of an alpha channel or something?

    There will be an alpha channel if you export one. I like using the Quicktime Animation codec. Large but clean. You have to select "Millions of Colors +" and the "+" sign adds the alpha channel.
    Have you tried just using Dynamic Link instead?

  • Importing excel data into oracle tables

    Hello gurus,
    Importing excel data into oracle tables..
    I know this is the most common question on the thread ...First, i searched the forum, i found bunch of threads with loading data using sqlloader, converting excel into .Txt, tab delimited file, .csv file etc....
    Finally i was totally confused in terms how to get this done....
    Here is wat i have
       - Excel file on local computer.
       - i have laod data into dev environment tables(So no risk involved, but want to try something simple)
       - Oracle version 11.1.0.7
       - Sqlplus and toad (editors)
    Here is wat i like to do ....i dont know if its possible
        - Without going to unix server can i do everthing on local system by making use of oracle db and sqlplus or toad
       SQLLOADER might be one option...but i dont want to go the unix server for placing files and logs and stuff.
    Wat will be best and simplest option to do?? and wat format will best to convert from excel into csv, or txt or tab delimited etc.....
    If you suggest sqlloader, any code example will be greatly appriciated.
    Thank you so much!!!

    Hi,
    user642297 wrote:
    Imran,
    This is increadible option in toad!!! It works absolutely sweet!! I have toad 9.7 version. IT works great. Thank you so much!!You are welcome :)
    Well i have further discussion on this ....this option is great if you doing in staging or development area. What if your doing in prod?? If you automating the sqlloader then how do u do it?? I think we still need to stick with traditional approach of laoding data by making use of SQLLoader right ?? If m wrong please correct me.well, in our case, we do have access to a custom schema in prod where we create the staging table and load the data from datafiles.
    try this:
    load data
    infile 'C:\dest.csv'
    into table dest_table
    fields terminated by "~" optionally enclosed by '"'
    TRAILING NULLCOLS
    (name,
    owner_nm,
    description_column,
    UPDT_DT DATE 'MM/DD/YYYY')
    {code}
    you can get more info about sql loader and your error here:
    http://download.oracle.com/docs/cd/B10501_01/server.920/a96652/ch05.htm
    http://www.allinterview.com/showanswers/53766.html
    And one more quick question ...i found an example of control file , in that i see .dat format file. Is it a data file ?? can i try that option ?? But in excel i didnt see to convert the .dat format file.
    Any thoughts ???
    It is same as a delimiter text file.
    steps to create a .dat file (from a excel file):
    1. Insert a column between two columns and populate it with the delimiter (in our case, it is ~)
    2. Save the file as unicode text.
    3. Open the file in text editor and remove all the tabs (find an replace with blank)
    4. Save the file as "DEST.dat". Select encoding as UTF-8 while saving.
    5. Your .dat file is ready.
    Regards
    Imran
    Edited by: Imran Soudagar on Apr 22, 2010 10:22 PM                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                   

  • Import J2ME source into Java ME SDK 3.0

    Hi,
    Im new to Java ME.
    The internet has lots of J2ME examples for games(but none for Java ME SDK 3.0), and im trying to import these into Java ME SDK 3.0 . I click on new project and select 'Import Wireless Toolkit Project', but it doesn't detect a project. Ive tried this with several popular J2ME samples.
    Anyone know how to do this right, so I can import J2ME projects into Java ME SDK 3.0 completely, with classes, packages and resources intact?
    I tried making a new project, and then copying the files into the relevant directories too. All the classes show up in the SDK, but on running the program no app is displayed in the emulator.

    Don't double post. I've removed the thread you started in the Java Programming forum with the identically same question.
    db

  • AI into ppro cs4

    Hello all,
    I have an issue that it seems no one has discussed.. at least I can't find anything on the wide web or here about it.
    I'm trying to import .AI files into Premiere, one of the features they like to boast for vector rasterization, alpha, etc etc. (http://help.adobe.com/en_US/PremierePro/4.0/WS74FBAC3A-F651-4f98-A58E-8576504C47CDa.html)
    It's not working in Premiere Pro CS4.  I go to import (drag drop, or menu-->import) my .ai file, which is a very small 350 pixel wide logo, and I get:
    File Import Failure
    /path/path/pathblahblah
    Error Message
    File video dimension (width/height) too large.
    It works fine in CS3.  I seem to remember using it in CS3 and CS4 before without problems.  In CS3, I drag in, no errors, I use on timeline, looks great zero issues.
    I've tried making a new fresh project, even at different formats (though this project is standard widescreen).  Tried messing with different things in Illustrator, even making a new project and using it's "NSTC Video" project presents.  The AI it makes still says it's too large in CS4 (but works in cs3).
    On a second note, I tried to reproduce the error while typing this.
    It imports, but the imported file's preview is just a bunch of tearing.  Here are some stills (btw I experimented b/c of the error and made a 150pixel wide AI file):
    www.multimediawise.com/TEMP/Screen shot 2009-11-17 at 8.16.19 PM.png
    www.multimediawise.com/TEMP/Screen shot 2009-11-17 at 8.16.10 PM.png
    www.multimediawise.com/TEMP/Screen shot 2009-11-17 at 8.17.58 PM.png     (after expose)
    www.multimediawise.com/TEMP/Screen shot 2009-11-17 at 8.22.04 PM.png     (cs3)
    It does this randomly instead of giving me the too large size  error, I double checked this.
    If I timeline and start playing with it, it'll eventually freeze Premiere and close out.
    Any thoughts, comments, suggestions?
    You know, I've started several projects in CS4 with all the best hopes and intentions, and every time I wind up just reverting down to CS3 to actually get the thing out the door.  I know this particular problem is work aroundable, but hey.. it worked in cs3?  We're devolving.  And where is .pdf support already? Really?
    I hate that FCS has better integration with adobe's imaging files, layers, blending modes etc, then Adobe itself's video suite.  I don't like FC.  I'm trying, here. 
    Tyler

    Hey guys, thanks for the replies.
    Like I said, I know there are work arounds to this particular problem, which works in ppro CS3 and doesn't in ppro CS4
    One option is rasterizing it out in photoshop, as Hunt has mentioned.  Why I like it vector is so that I can animate and play with the file, perhaps bringing it on screen at a huge scale percentage so that the "camera" "moves away" from the object.  This means in photoshop, when I open the .AI, in the rastorization dialogue that it brings up automatically, I'd like a huge DPI so that it rasterizes a very large version of the vector AI, that I can shrink as needed once inside Premiere.
    Another option is using Adobe Dynamic Link and importing the .AI there, in After Effects.  After Effects loves layered vector files.  Then I can switch back and forth between the two programs in real time to get the desired effect.  This is probably the more "correct" solution, or more, least prone to problems, as it's AE doing things it's built for, sort of.
    I just thought I'd post to make the bug aware to others, and possibly get a solution if there was one.  A simple use (rather than all the animation I'm describing) would be to drop a watermark logo into Premiere that you recieved from graphics as an Illustrator file.  I think this was the intent for the support of the ai files.
    Stan, yeah, I've played with different artboard, dimension, and crop mark settings.  I gave up and deducted that being the issue beings it's imports right in on CS3.
    Both of the above methods have worked for me in both CS versions.
    Cheerio,
    Tyler

Maybe you are looking for

  • Error while configuring CCMS Agent

    Hello Gurus, I am trying to install CCMS agent on our BI Dev system, but it gives me below error. Error Message - ERROR: Cannot open Monitoring Segment 0 rtc = 245 Last reported error: [249]  CCMS monitoring segment has wrong EYE CATCH: CCMS mo nitor

  • Bug? Unable to add ActionListener using Anonymous class.

    Hi, I come accross one strange behaviour while adding ActionListener to RCF component. I am trying to add the ActionListener in the managed bean using the Anonymous. We can add the actionListener to a button using following methods. I am talking abou

  • Reading/Writing .xlsx files using Webdynpro for Java

    Dear All I have a requirement to read/write excel files in .xlsx format. I am good in doing it with .xls format using jxl.jar. The jxl.jar doesn't support .xlsx format. Kindly help me in understanding how do I need to proceed on reading/writing .xlsx

  • Unable to kill one user's session

    Hi SAP Experts, In SAP SCM system, when I view the users list, there is one EMPTY session with UNIDENTIFIED user. If I click the button End Session, SAP throws a message "Make a selection". It seems not able to kill its session. I have attached the s

  • Solaris on Gigabyte motherboard

    Hi All!! after futile trials to install solaris on my dell laptop i tried installing on my desktop-customer built new HD it all installed fine.....but my mouse acts weird...i cant click the right things in the right place....may be i need mouse drive