Importing EA4500 settings into a EA6500 router

I had to upgrade my EA4500 to an EA6500 as the EA4500 kept losing wireless connectivity from the modem as well as occasionally would stop working wirelessly.  Rather than swap with another EA4500 I opted to upgrade to an EA6500 and was wondering if I can just export the settings from the EA4500 and import them into the EA6500 or are the not compatible?
If they will import, will I need to make any changes?  The reason I ask is we have (2) xBox units and had issues getting them to work until I went into the gaming section of the router and opened some ports.  I also had to make some additional changes (but I really don;t know where and what was changed).  I spent almost an hour on the phone with a rep making these changes.
Please advise.
Thank you,
Troy
Solved!
Go to Solution.

This may or may not work. However, if this will fall to may not work then it might brick your router.

Similar Messages

  • Import / Load Compliance Baseline Settings into a Windows Client

    Hi all, I am using a Baseline with a set of CI´s deployed to CM 2012 collection of Windows 7 and Windows 8 machines.
    Everything is working property, on the other hand I am checking a way to export somehow the baseline + ci´s settings and load / import those settings into a client machine that is not included on the collection where the baseline is deployed.
    In other words, is there anyway to have a XML / MOF / CAB, with all the baseline definition and import it to a windows client and evaluate that baseline. 
    I will like to include this procedure (import a BL + evaluate) at the last step of my OSD Task Sequence, so that way I could qualify the installation based on this baseline at the right moment the task sequence finish. 
    Thanks in advance
    Jesus Belinchon

    Hi all, I am using a Baseline with a set of CI´s deployed to CM 2012 collection of Windows 7 and Windows 8 machines.
    Everything is working property, on the other hand I am checking a way to export somehow the baseline + ci´s settings and load / import those settings into a client machine that is not included on the collection where the baseline is deployed.
    In other words, is there anyway to export a XML / MOF / CAB, with all the baseline definition and import it to a windows client and evaluate that baseline. 
    I will like to include this procedure (import a BL + evaluate) at the last step of my OSD Task Sequence, so that way I could qualify the installation based on this baseline at the right moment the task sequence finish.
    Thanks in advance
    Jesus Belinchon

  • My original computer that has my itunes account on it no longer works.  I am trying to import my phones itunes settings into my new computer and it is not letting me and I don't want to loose all my photos and music, what do I do?

    My original computer that has my itunes account on it no longer works.  I am trying to import my phones itunes settings into my new computer and it is not letting me and I don't want to loose all my photos and music, what do I do?

    It does not magically appear in a new place.  It will only be where you put it.
    Copy everything from your old computer, or your backup copy of your old computer, to your new one.

  • Importing User settings from one CC user into another

    Hi There,
    I want to import user settings from one account, then use those as a base to setup user setting for another. IE I want to use my settings from work [which I did not pay for] and use that as a starting point for my personal user account at my home office [which I did pay for].
    I'm concerned about messing up my work settings + at home I'm on a laptop, not a 2 display tower.
    Specificaly, its the custom keyboard, sequence and output, and loging colums/metadata display settings that I'd love not to have to setup from scratch, again.
    Also, I have co workers who prefer my settings and I'd like to share these with them- but don't want them to be signed in as me, and messing me up accidentaly.
    Many Thanks
    David

    OK so this does not work. I'm running CC, on OSX 10.8.3.
    For those that don't know, in order to reveal the library folder in OSX 10.7+, you need to either enter this into terminal:
    Permanent Reveal:
    chflags nohidden ~/Library/
    Permanent Hide:
    chflags hidden ~/Library
    Or hold down option key + finder/go, for temporary reveal.
    So after doing this, and coying over the directories Jax mentioned [+ '7.0' folders, as I'm in CC], I'm still not getting the keyboard mappings, just the defaults.
    I've just synced with my work account, and brought up another issue: My scratch disks and media paths are all different on my home office, and I don't want to have to reset those everytime I switch from one location to another.
    If I could get what Jax sudgested to work, that would be an exelent work arround for this situation. Otherwise its a feature request for managing syncing between multiple accounts...
    Thanks again Jax for tyring to help

  • Has anyone been successful importing h.264 into Encore?

    First, my system and goal:
    A MacPro with 2.66mHz dual core and 12 gigs of RAM.
    Multi Terrabytes of space.
    I shoot on a Panasonic HVX-200, edit in Final Cut Pro and output Quicktime files as the basis for rendering to DVD and Blu-ray. I shot this stuff in 1080i. The camera codec is DVCPROHD and that is what I output to also.
    I am trying to burn Blu-ray discs. I have an external Pioneer BDR-203 Blu-ray burner and it works fine.
    I have done my tests and have decided that for Blu-ray, h.264 is far superior to mpeg2 in look and file size so I am sticking with it.
    Here is the issue (and I have scoured this forum area and found no one discussing or solving this issue to date):
    I have made hundreds of different DVDs with DVDStudioPro and am very familiar with it. Not to get off on a tangent but my beloved Apple has decided to betray me by not supporting Blu-ray (the ONLY way to output HD for us independents) so I studied up on Encore and have been using it to format my latest project to HD. The interface works pretty nice and even has some superiority to DVDSP but you have to have the patience of JOB to see your results. 5 Day (Remember. That's 24 Hour Days) Rendering is my tops so far. (OK. Full Disclosure... the documentary is 3 hours and 15 minutes long BUT DVDStudioPro took the same Quicktime files and crunched them down to mpeg2 for the DVD in about a day) Anyway about 6 days ago I had a 3 day render session that crapped out on me as it was finally recording to disc. For some reason it didn't like the BD-RE disc I had in the machine and just bagged the whole process. When I put in a new disc it just started rendering All Over Again. After that debacle I gave up on that method and now am rendering to BDMV folders which I then burn to disc using Toast. (While I am writing this my fingers are crossed that it will make it to the end - it's been another 3 days...)
    I provide the details above to show why I am more than dismayed that I cannot figure any way to import h.264 files INTO Encore from another renderer. Either Compressor or Encoder render much faster than Encore. So I have tried render my project to a variety of output types from both Apple's Compressor and Adobe's Encoder with no joy any way I try.
    (as a side note I am also dismayed that when I made a change to a MENU, Encore decided to encode The Whole Project Again rather than just the menu part...)
    One of my latest file testing adventures was based on a theory that the problem has to do with DVCPROHD recording to 1280 x 1080 anamorphic rather than 1440 x 1080 (the "expected" frame size for 1080i). I fooled with that a half day but could not get either renderer to generate any test material from my FCP output that Encore would burn without re-rendering. By that I mean I cajoled and tricked Compressor and Encoder to output 1920 x 1080 files; 1440 x 1080 files and 1280 x 1080 files (all h.264) to see if Encore would recognize any of them without re-rendering them. No Luck.
    So. Has anyone had success importing h.264 into CS4Encore from anywhere (but particularly using the same or similar gear I am using)? The Encore literature really doesn't give you much to go oon.
    Lately I am very inclined to think the problem has something to do with this 1280 x 1080 DVCPROHD issue but I can't say for sure. I offer that as a suggestion if anyone else with a similar production stream is having the same problem and maybe it will trip a switch in their mind for a solution.
    I like the Encore interface and design possibilities you have by swinging back and forth from PShop and After Effects but using Encore's renderer is like Chinese Water Torture.
    Thanks for your help.

    Brian,
    I got your test file into Encore and got Encore to show its Blu-ray transcode status as "Don't Transcode".
    Screenshots:
    PrCS4 Export settings:
    EnCS4 Project Panel:
    Since it's hard to make out the En Project panel, I'll attach the same image to another message.
    -Jeff

  • Can't import ical dates into iphoto calendar

    Hi all,
    I'm trying to make an iphoto calendar for a friend and I'm running into a frustrating problelm. My friend emailed me an ical birthday calendar which he would like to have included in the iphoto calendar. I imported the ical calendar into my own ical as a new calendar. I have created my calendar in iphoto but I cannot import these dates into it. When I go to settings and click the calendar tab I am given the option of which ical calendars I would like to import to my calendar. I see two, one is my own normal calendar and the other is the birthday calendar sent to me by my friend. I check the birthday calendar and then click ok and ical appears to be importing the dates but when I look at my calendar the dates have not imported. If I try importing my normal calendar I have no problem. What's going on here??? Please help I am getting really frustrated.

    There are many video formats. iPhoto can't read all of them; in fact, it can't read most of them. Here's something that can:
    VideoLAN - Download official VLC media player for Mac OS X
    On the other hand, the videos are just files. You can copy them anywhere you want in the Finder.

  • Cannot import PDF files into iPhoto 4.0.3

    Is it possible to import PDF files into iPhoto 4.0.3? I can't drag and drop them into iphoto folders without the "Unreadable Files" error. I have tried importing them through the iPhoto menu and still get the same results. I have tried importing the PDF files from several places such as my desktop because I wondered if the location of the original made a difference, but that doesn't seem to help. I didn't find anything when I searched for this problem here in the forum so I wondered if anyone else is having the same problems.
    Any help would be appreciated.
    Thanks,
    Morgan

    No because I had iPhoto 4 open and tried to run the Save PDF to iPhoto on a book in that library. I think it's just the different data structure, etc.. It's just not compatible with Automator or at least that particular workflow. I tried printing to PDF from V4 and then do the Save to iPhoto from Preview with V4 open and I still get the error message. So iPhoto 4 is just not compatible with that workflow.
    A workaround is to create a PDF file of the whole book and then manually save each page as a jpg file and import those. That's what I did for my first book. You could do a screen shot of each page in the preview mode and use those but the resolution will be limited to screen resolution, 72dip. You will get resolutions on the order of 150-200 dpi thru the Save As route in Preview or the Save to iPhoto automator method.
    I'm sure you could create an Automator workflow that would take the PDF file and save each page as a jpg file and name it sequentially. I'll have to take a closer look at Automator soon.

  • Importing 3GP Files into a Final Cut Timeline

    I'm trying to import 3GP files from a cell phone video camera into Final Cut to edit. I've read that it can be done, but I'm having difficulties setting the correct presets in FCP.
    When I import a clip into FCP I get the following settings in the clip window:
    FRAME SIZE: 352 x 288
    Vid Rate: 10 fps
    Compressor: H.263
    Aud Rate: 8.0 KHZ
    That said, I cannot replicate these settings when I try to set the "Sequence Settings" and therefore, the clip does not appear in the timeline when I drag it down. Is there a preset for using this file format? Is there an easier way to do this?
    Thank you in advance for your help.

    as your mobile phone footage is a non standard tv format, you really need to start off with a proper sequence setting, SD PAL, SD NTSC 4:3 then import your footage into the browser, drop it onto the timeline and let fcp auto conform to the sequence. You could also look at compressor to convert it to the right frame size, or use mpeg streamclip to turn the footage into DV QT movie
    but as the mobile footage is small and highly compressed @10 frames a sec it is never going to look great anyway

  • How to import Verilog codes into LabVIEW FPGA?

    I tried to import Verilog code by instantiation followed by the instruction in http://digital.ni.com/public.nsf/allkb/7269557B205B1E1A86257640000910D3, 
    but still I can see some errors while compiling the VI file.
    Simple test Verilog file is as follows:
    ==============================
    module andtwobits (xx, yy, zz);
    input xx, yy;
    output reg zz;
    always @(xx,yy) begin
    zz <= xx & yy;
    end
    endmodule
    ==============================
    and after following up the above link, we created the instantiation file as
    ==============================================
    library ieee;
    use ieee.std_logic_1164.all;
    entity mainVHDL is
    port(
    xxin: in std_logic;
    yyin: in std_logic;
    zzout: out std_logic
    end mainVHDL;
    architecture mainVHDL1 of mainVHDL is
    COMPONENT andtwobits PORT (
    zz : out std_logic;
    xx : in std_logic;
    yy : in std_logic);
    END COMPONENT;
    begin
    alu : andtwobits port map(
    zz => zzout,
    xx => xxin,
    yy => yyin);
    end mainVHDL1;
    ==============================================
    Sometimes, we observe the following error when we put the indicator on the output port,
    ERROR:ConstraintSystem:58 - Constraint <INST "*ChinchLvFpgaIrq*bIpIrq_ms*" TNM =
    TNM_ChinchIrq_IpIrq_ms;> [Puma20Top.ucf(890)]: INST
    "*ChinchLvFpgaIrq*bIpIrq_ms*" does not match any design objects.
    ERROR:ConstraintSystem:58 - Constraint <INST "*ChinchLvFpgaIrq*bIpIrq*" TNM =
    TNM_ChinchIrq_IpIrq;> [Puma20Top.ucf(891)]: INST "*ChinchLvFpgaIrq*bIpIrq*"
    does not match any design objects.
    and interestingly, if we remove the indicator from the output port, it sucessfully compiles on the LabVIEW FPGA.
    Could you take a look at and please help me to import Verilog to LabVIEW FPGA?
    I've followed the basic steps of instantiation on the above link, but still it won't work.
    Please find the attachment for the all files.
    - andtwobits.v : original Verilog file
    - andtwobits.ngc: NGC file
    - andtwobits.vhd: VHD file after post-translate simulation model
    - mainVHDL.vhd: instantiation main file
    Since there is no example file for Verilog (there is VHDL file, but not for Verilog), it is a bit hard to do the simple execution on LabVIEW FPGA even for the examples.
    Thank you very much for your support, and I'm looking forward to seeing your any help/reply as soon as possible.
    Bests,
    Solved!
    Go to Solution.
    Attachments:
    attach.zip ‏57 KB

    Hi,
    I am facing problem in creating successfully importing  VHDL wrapper file for a Verilog module,into LabVIEW FPGA using CLIP Node method. Please note that:
    I am working on platform SbRIO-9606.
    Labiew version used is 2011 with Xilinx 12.4 compiler tools
    NI RIO 4.0 is installed
    Xilinx ISE version installed in PC is also 12.4 webpack ( Though I used before Xilinx 10.1 in PC for generating .ngc file for verilog code FOR SbRIO 9642 platform, but problem remains same for both versions)
    Query1. Which versions of Xilinx ISE (to be installed in PC for generating .ngc file) are compatible with Labview 2011.1(with Xilinx 12.4 Compiler tools)? Can any version be used up to 12.4?
    Initially I took a basic and gate verilog example to import into LabVIEW FPGA i.e. simple_and.v and its corresponding VHDL file is SimpleAnd_Wrapper.vhd
    ///////////////// Verilog code of “simple_and.v”//////////////////////
    module simple_and(in1, in2, out1);
       input in1,in2;
       output reg out1;
       always@( in1 or in2)
       begin
          out1 <= in1 & in2;
       end
    endmodule
    /////////////////VHDL Wrapper file code of “SimpleAnd_Wrapper.vhd” //////////////////////
    LIBRARY ieee;
    USE ieee.std_logic_1164.ALL;
    ENTITY SimpleAnd_Wrapper IS
        port (
            in1    : in std_logic;
            in2    : in std_logic;
            out1   : out std_logic
    END SimpleAnd_Wrapper;
    ARCHITECTURE RTL of SimpleAnd_Wrapper IS
    component simple_and
       port(
             in1    : in std_logic;
             in2    : in std_logic;
             out1   : out std_logic
    end component;
    BEGIN
    simple_and_instant: simple_and
       port map(
                in1 => in1,
                in2 => in2,
                out1 => out1
    END RTL;
    Documents/tutorials followed for generating VHDL Wrapper file for Verilog core are:
    NI tutorial “How do I Integrate Verilog HDL with LabView FPGA module”. Link is http://digital.ni.com/public.nsf/allkb/7269557B205B1E1A86257640000910D3
    In this case, I did not get any vhdl file after “post-translate simulation model step” in netlist project using simple_and.ngc file previously generated through XST. Instead I got was simple_and_translate.v.
    Query2. Do I hv to name tht “v” file into “simple_and.vhd”?? Anyways it did not work both ways i.e. naming it as “simple_and with a “v” or “vhd” extension. In end I copied that “simple_and.v” post translate model file, “simple_and.ngc”, and VHDL Wrapper file “SimpleAnd_Wrapper.vhd” in the respective labview project directory.
    Query3. The post-translate model file can  also be generated by implementing verilog simple_and.v  file, so why have to generate it by making a separate netlist project using “simple_and.ngc” file? Is there any difference between these two files simple_and_translate.v generated through separate approaches as I mentioned?
    2. NI tutorial “Using Verilog Modules in a Component-Level IP Design”. Link is https://decibel.ni.com/content/docs/DOC-8218.
    In this case, I generated only “simple_and.ngc” file by synthesizing “simple_and.v “file using Xilinx ISE 12.4 tool. Copied that “simple_and.ngc” and “SimpleAnd_Wrapper.vhd” file in the same directory.
    Query4. What is the difference between this method and the above one?
    2. I followed tutorial “Importing External IP into LABVIEW FPGA” for rest steps of creating a CLIP, declaring it and passing data between CLIP and FPGA VI. Link is http://www.ni.com/white-paper/7444/en. This VI executes perfectly on FPGA for the example”simple_and.vhd” file being provided in this tutorial.
    Compilation Errors Warnings received after compiling my SimpleAnd_Wrapper.vhd file
    Elaborating entity <SimpleAnd_Wrapper> (architecture <RTL>) from library <work>.
    WARNING:HDLCompiler:89"\NIFPGA\jobs\WcD1f16_fqu2nOv\SimpleAnd_Wrapper.vhd"    Line 35: <simple_and> remains a black-box since it has no binding entity.
    2. WARNING:NgdBuild:604 - logical block 'window/theCLIPs/Component_ dash_Level _IP_ CLIP0/simple_and_instant' with type   'simple_and' could not be resolved. A pin name misspelling can cause this, a missing edif or ngc file, case mismatch between the block name and the edif or ngc file name, or the misspelling of a type name. Symbol 'simple_and' is not supported in target 'spartan6'.
    3. ERROR:MapLib:979 - LUT6 symbol   "window/theVI/Component_dash_Level_IP_bksl_out1_ind_2/PlainIndicator.PlainInd icator/cQ_0_rstpot" (output signal=window/theVI/ Component_dash_Level _IP_bksl_out1_ ind_2/PlainIndicator.PlainIndicator/cQ_0_rstpot) has input signal "window/internal_Component_dash_Level_IP_out1" which will be trimmed. SeeSection 5 of the Map Report File for details about why the input signal willbecome undriven.
    Query5. Where lays that “section5” of map report? It maybe a ridiculous question, but sorry I really can’t find it; maybe it lays in xilnx log file!
    4. ERROR:MapLib:978 - LUT6 symbol  "window/theVI/Component_dash_Level_IP_bksl_ out1_ind_2/PlainIndicator.PlainIndicator/cQ_0_rstpot" (output signal= window / theVI/Component_dash_Level_IP_bksl_out1_ind_2/PlainIndicator.PlainIndicator/ cQ_0_rstpot) has an equation that uses input pin I5, which no longer has a connected signal. Please ensure that all the pins used in the equation for this LUT have signals that are not trimmed (see Section 5 of the Map Report File for details on which signals were trimmed). Error found in mapping process, exiting.Errors found during the mapping phase. Please see map report file for more details.  Output files will not be written.
    Seeing these errors I have reached the following conclusions.
    There is some problem in making that VHDL Wrapper file, LabVIEW does not recognize the Verilog component instantiated in it and treat it as unresolved black box.
    Query6. Is there any step I maybe missing while making this VHDL wrapper file; in my opinion I have tried every possibility in docs/help available in NI forums?
    2. Query7. Maybe it is a pure Xilinx issue i.e. some sort of library conflict as verilog module is not binding to top VHDL module as can be seen from warning HDLCompiler89. If this is the case then how to resolve that library conflict? Some hint regarding this expected issue has been given in point 7 of tutorial “How do I Integrate Verilog HDL with LabView FPGA module”. http://digital.ni.com/public.nsf/allkb/7269557B205B1E1A86257640000910D3. But nothing has been said much about resolving that issue.  
    3. Because of this unidentified black box, the whole design could not be mapped and hence could not be compiled.
    P.S.
    I have attached labview project zip folder containing simple_translate.v, simple_and_verilog.vi file,SimpleAnd_Wrapper.xml,  Xilinx log file after compilation alongwith other files. Kindly analyze and help me out in resolving this basic issue.
    Please note that I have made all settings regarding:
    Unchecked add I/O buffers option in XST of Xilinx ISE 12.4 project
    Have set “Pack I/O Registers into IOBs” to NO in XST properties of project.
    Synchronization registers are also set to zero by default of all CLIP I/O terminals.
    Please I need speedy help.Thanking in you in anticipation.
    Attachments:
    XilinxLog.txt ‏256 KB
    labview project files.zip ‏51 KB

  • I imported 635 images into an iPhoto album so that I can share them via a photo-stream. However, iPhoto says there are only 600. When I try to add the missing images it says they are already there. Why can i not see these images in iPhoto?

    I imported 635 images into an iPhoto album so that I can share them via a photo-stream. However, iPhoto says there are only 600. When I try to add the missing images it says they are already there. Why can i not see these images in iPhoto?

    Generally I would not use Facebook for sharing any photos, it compresses the photos substantially, and when you have shadows and dark colours you get visible "bands" where there should be subtle gradients, ie at sunsets and sunrises.
    It sounds like you are using two methods to upload to Facebook:
    1. Sharing from within Aperture, which basically syncs Facebook with your Aperture album, so any changes made at either end gets synced, hence the deletions from Albums, although the original file should still be in your library, just removed rom the album. It is like a playlist in iTunes.
    2. Exporting pics and uploading to Facebook from the browser.
    I am not sure how method 1 gets compressed, but I know that uploading hi-res jpegs to Facebook using method 2 results in poor quality images.
    I wouldn't even bother comparing option 1 or 2, and they will both be poor images once you view them on Facebook, as opposed to viewing uploaded images on proper image sharing / hosting sites.
    Your problem is not with Aperture, it is using Facebook for showing your work.
    If you export pics form Aperture at high res jpegs or TIFFs your images will be fine.
    If you insist to use Facebook as your way to share your work, then your workflow should be this:
    1. Right click images you want to share.
    2. Select Export version.
    3. Export as 100% size and ensure the export settings are set at 100% quality.
    4. Upload this pic into Facebook.
    This will get you the best image size and resolution on Facebook.
    See how you go.

  • Issue with importing effects settings from 3.0 to CS5.5

    Several times I've attempted to import effects settings from 3.0 into 5.5 using the 5.5 Import>Application Settings function.  Each time it says that it is unable to do it because of some unknown error.  Is there another way to import the settings?  I'm in the middle of an album project in 3.0.1 and would like to try 5.5 with some of these existing sessions.  I've used the SuiteSpot studios Ses2Sesx program to translate the session.  It imports fine, but none of the effects or racks import.  I very much want to be able to do that. 

    Hi Michael,
    These effects are mostly external VSTs, but a few of them are native
    (Parametric EQ).  The program will just not import the settings .xml
    file or the vstmanager settings .xml.  The VSTs seemed to have been
    found easily by the program.  I have not yet gone through them all to
    see if they will pop up.
    Another interesting thing - when I installed AACS5.5 I lost the ability
    to open AA 3.0.1.  I had to reinstall 3.0.1 in order to be able to use it.
    I'm not liking this program at all.  I don't like the interface, the
    GUI, or the navigation through the program.

  • Importing a pdf into photoshop CC and it corrupts the artwork slightly but in older photoshop version it does not corrupt?

    Hi
    When Importing a pdf into photoshop CC it corrupts the artwork slightly but in older photoshop version it does not corrupt? Please help as this corruption slightly marked the artwork, it was then printed and is going to cost my company thousands to replace. [email protected]

    "Slightly corrupted" sounds very much akin to "slightly pregnant".  Hard to imagine.
    I assume you're referring to some sort of image quality deterioration or some added artifacts.
    Could you post a screen shot?  Just so that someone familiar with PDFs opened in CC can form an idea of the nature of the "corruption" and where the cause might lie.
    If you post back, please give complete hardware and software details about your setup, including the exact version of CC so they can see if all updates have been applied.
    BOILERPLATE TEXT:
    If you give complete and detailed information about your setup and the issue at hand,
    such as your platform (Mac or Win),
    exact versions of your OS, of Photoshop (not just "CS6", but something like CS6v.13.0.6) and of Bridge,
    software settings,
    machine specs, such as total installed RAM, scratch file HDs, total available HD space, video card specs, including total VRAM installed,
    what troubleshooting steps you have taken so far,
    what error message(s) you receive,
    if having issues opening raw files also the exact camera make and model that generated them, etc.,
    someone may be able to help you (not necessarily this poster).
    A screen shot could be very helpful too.
    Please read this FAQ for advice on how to ask your questions correctly for quicker and better answers:
    http://forums.adobe.com/thread/419981?tstart=0
    Thanks!

  • How to import Account settings to TB?

    In the process of migrating from XP to Win 8, I have rediscovered a very old (ex dial up, payg) mailbox account which I want to start using again but have forgotten the password. The account is already set up in Outlook backup files and has the (encrypted) password.
    The ISP (TalkTalk) cannot help with the password because it is an old dialup account with Tiscali and they do not have the records.
    TB is already set up and configured for the current mail accounts.
    Is there a way to import the .iaf into TB to add to the existing account?
    If I set up a new (empty) profile and managed to import the settings from Outlook, can the profiles be merged?
    Can Account settings be exported from TB for importing to the new profile?
    Thanks in advance

    Thunderbird doesn't recognize .iaf files to my knowledge.
    Assuming you're using Outlook Express you can use the following link to import your data from OE
    *http://kb.mozillazine.org/Import_from_Outlook_Express
    If that doesn't work, then I'm afraid you can't transfer your settings over.
    I would probably let someone else answer, who knows more about Thunderbird than I do.

  • MobileMe Galleries orphaned when importing Iphoto library into Aperture 3

    Can anyone advise how I can get my existing MobileMe galleries to be listed into A3. They were uploaded via Iphoto and I imported that library into A3 but the MM galleries are not there. Obviously I have filled in the appropriate boxes etc in preferences.

    1) Log in to MobileMe via the web at www.me.com.
    2) Click on the PHOTOS icon along the top toolbar.
    3) Click the "Gear" button and select the option for "New Album".
    4) Give the album title a dummy name like "Aperture Link Dummy" and under the "Syncs with:" selection, choose "Aperture".
    5) Once this new album is created, you can now click on each individual MobileMe gallery that you wish to sync with Aperture and the "Lightswitch" button for "Album Settings" will now have the option to "Sync with: Aperture" as well.
    6) After making the necessary Album Settings changes for those that you wish to sync with MobileMe, return to your Aperture program and go to PREFERENCES --> WEB.
    7) Under the option "Check for new published photos:", select one of the options OTHER than "Automatically" and the "Check Now" button next to it should become clickable.
    8) Click "Check Now" and you should immediately see Aperture add a "MOBILEME GALLERY" heading under the Library pane and will begin to import your MobileMe galleries.
    This is about as far I've gotten with getting my existing MobileMe galleries into Aperture. Unfortunately, now the problem is that they are no longer linked to their respective albums/events. When in iPhoto, if I made edits to the album/events, the MobileMe gallery would automatically be updated with those changes. I assume this behavior is the same for new galleries created from within Aperture, but it does not seem to affect those galleries that were imported from iPhoto. Let me know if you get anywhere with this issue.

  • How do I get imported iPhoto photos into iPhone Photostream

    I imported a photo into iPhoto.  If I click on it, click actions and share to Photostream, I get a message saying it is already in Photostream.  Yet, it does not show up in the iPhoto Photostream folder on my Mac. Nor does it show up in my iPhone Photostream folder. I believe I have iCloud set up properly on both devices and have configured iPhoto correctly.  What am I missing?  Is there something I can do to force a sync?

    Hi John..
    You can force Photo Stream to sync ...
    On your Mac open System Preferences > iCloud. Deselect the box next to Photo Stream, then reselect it, then restart your Mac.
    On your iPhone tap Settings > iCloud > Photo Stream. Toggle the button off then back on then restart the iPhone.
    Restart your device: Hold the power button until "slide to power off" appears.  Slide to power off.  After it is off, press the power button to turn it back on.

Maybe you are looking for

  • Sony Cybershot No Longer Recognized in iPhoto

    Just did a recent software update that my iBook let me know about this past Saturday. One of those updates I installed involved something to do with importing pictures from cameras. Now, my Sony camera is no longer recognized in iPhoto and I never ha

  • Is there anyone interesting in setting up an Arch Rollback Machine?

    Hello Archers, We developed the new Arch Rollback Machine (aka. A.R.M) and running the current A.R.M service api. Several days earlier we have a disk failed, replacing the disk and rebuilding RAID brings ~2 days downtime. We are sorry for any inconve

  • Adding field in MIRO screen

    Hi  , There is a requirement to add a new field in MIRO screen. I mean that a new field needs to be made visible. Please let me know of how to proceed with it in SPRO. Thanks

  • Why can I not open illustrator on my laptop!!!!!!!!!!!!!!!!!!!!!!!!!

    why can I not open illustrator on my laptop it will not download from creative cloud

  • Smartforms with text type DOKU

    Hi, I would like to display a text in a Smartforms. This text is a standard include from the QM module. The name is QM_QCERT_GENERAL_TEXT. Inside this text, when I display the content in the transaction SO10, there is the code : INCLUDE QM_QCERT_GENE