Initial questions about LVM 2.0

Hi,
  I´m new to SAP LVM; a colleague installed LVM 2.0 and now I´m going to take over this project. I have some questions for you :
1) when I log to LVM console, there´s no Infrastructure icon besides the Configuration one -> it´s missing (see attached file). Is this an option I have to check or something was missing in the installation?
2) the first test I wanna make with the tool is a system-copy. What are the pre-requisites for the target system, what do I have to install there in the first place (SAP Host Agent, SAP Kernel, Database Kernel, ???). In the documentation I don´t see a step to choose for example the filesystem to where LVM with copy the source system. Can you explain me about this process?
Thanks in advanced
Carlos

Hi Adarsh,
1) You´re correct, Standard Edition has been installed. I´ll install a trial license for Enterprise Edition
2) I checked note 1709155 and in topic 1.1 (System Clone) there are the points below :
For storage based cloning the source system must be installed on a shared storage system.
When using storage-based cloning the target resource must match all prerequisites for a relocation of adaptively installed systems, e.g. users, services etc. must exist.
  What does it mean with "installed on a shared storage system" and "target resource must match all prerequisites"? I want to do a system-copy from source to target, which are on different servers. Is it possible? Can you clarify this point?
Thanks very much
Carlos

Similar Messages

  • Question about LVM

    i have a really quick question about LVM, been trying to search but can't really find the answer
    here's the scenario
    i have my new quad core phenom system running arch, with an LVM volume on a few 1TB drives. i was overclocking it the other night and running geekbench, pulled 8300 flat anyway, not too bad since i started at 4900 on the arch kernel, and then hit 6800 with a custom zen patched kernel. anyhow, before i get carried away, what i want to do, is slap a spare drive and install windows 7 for a wider suite of benchmarks. but i'm worried that the drives with the lvm volume may not go in the same order when i pull the windows drive out.
    so what i want to know, is does the order of the drives with the lvm volume matter, or does LVM not care about the order at all?

    i was pretty sure thats how it worked....but pretty sure just isn't enough sometimes. like when you're looking at 3TB's of data

  • Basic questions about macbook pro + external monitor

    Hi,
    I have some very basic questions about using a Macbook Pro + external display. I don't actually have them but need to know how things works.
    So, here they are:
    1) Can I use the external display as the main display?
    2) Will the external display run with its resolution or with that of the mbook pro?
    3) Somewhere I read that you cannot keep the macbook pro open and get the full resolution of the external display. Is that true ?
    4) Is it dangerous to keep the mbook closed while using the external display?
    5) Does the usage of the external display impact on mbook performance?
    I know...a lot of questions , but would be nice if someone could help me.
    Thanks.

    Hi - I am presently using an external display.
    To answer your questions in sequence:
    1. Yes you can use the external display as your main display. The way to enable that mode is to put your MacBook Pro to sleep, attach the external display. Wake up your MBPro with the lid closed and you will see the external display as you main display. You can alternatively set the external to "Mirror" your notebook by using preferences/display.
    2. The external display will run at its resolution although you can adjust and calibrate it using Preferences/Display.
    3. Not true. You get max resolution on both displays. Of course you may have to tweak as mentioned above.
    4. Not at all. I use this mode all the time. Just make sure you initially set up as mentioned above and your LCD on the MBPro will stay off.
    5. I have not seen any performance degradation whatsoever.
    Hope this helps.

  • I want to question about the official service at the service center of Sony.

    I want to question about the official service at the service center of Sony.
    long since I like the models and items sony. from start playstation, cameras, camcorders up, I've ever had. and a new camera that I bought two years ie compact cameras Sony Cybershot DSC H200. as of a month ago, a camera was having problems in lenses that would not close. and setting the automatic mode to move by itself. I came to the Sony Service Center in Makassar, precisely on Jl. Shop Pengayomann A5 / 05 (0411) 442340.
    operator initially said only two weeks to work on my camera. but this week has been more dau even want to go in a month tomorrow, dated July 9, no news from the service center. and I kept the call to the office service. as well as assorted reasons. there are no spare parts or technical constraints, and the last one I call to his office, he said the factory spare part is damaged. imported directly from Singapore. I think, ko new spare part it can be damaged before using that? how the quality of this Sony spare part? ugly? not good? why?
    I was disappointed with this situation, where soon it will Eid, want to return home as well to Java. but the camera has not been settled workmanship?
    nah, roughly what is the solution of the Sony plagued with this problem? please help, because he did not know to whom to complain. operator had just said: it's up to the father alone.
    once again I asked for his help. solution. if you can before Eid arrived.
    Thank you,
    AD. Rusmianto

    Hi awwee107, 
    Welcome to the Sony Community! 
    We have forwarded your query to the relevant team for their further assistance and someone from local CC will contact you.
    Thanks!
     

  • Questions about SRM PO in Classic scenario

    Hello All
    I have a number of questions about the SRM PO in classic scenario.
    1) If the Backend PO is changed in ECC i.e. if any quantity is added , can we have an approval workflow
    for the same?
    We currently have release strategies for other PO's in ECC. How do we accommodate the PO changes only?
    Our requirement is not have an approval initially once the PO is created, but only for the changes
    2) If the PO is sent as XML to the Vendor, is it possible to capture the PO response in ECC? What are the Pre-requisites
    for this to happen. Should SAP XI be required for this?
    3) In case the PO is cancelled/ reduced , does the Balance goes back to SRM sourcing cockpit?
    We are using SRM 7.0
    Regards
    Kedar

    Hi,
    1) If the Backend PO is changed in ECC i.e. if any quantity is added , can we have an approval workflow
    for the same?
    We currently have release strategies for other PO's in ECC. How do we accommodate the PO changes only?
    Our requirement is not have an approval initially once the PO is created, but only for the changes
    Sol: In ECC6.0 if the P.O is changed and release strategy is there in ECC6.0 then it follows the ECC6.0 Approval Route.
    2) If the PO is sent as XML to the Vendor, is it possible to capture the PO response in ECC? What are the Pre-requisites
    for this to happen. Should SAP XI be required for this?
    XI is mandatory
    3) In case the PO is cancelled/ reduced , does the Balance goes back to SRM sourcing cockpit.
    Once P.O is created in ECC 6.0 for the P.R in Sourcing Cockpit, cancelling/reduction will not have a updation in the sourcing cockpit in SRM.
    Eg  100 nos P.R is in SRM sourcing cockpit for which  you have createdaa P.O for 40 nos is ECC6.0
    for the remaining 60 nos PR ,you can create a P.O in ECC6.0
    Regards
    Ganesh

  • Question about LRU in a replicated cache

    Hi Tangosol,
    I have a question about how the LRU eviction policy works in a replicated cache that uses a local cache for its backing map. My cache config looks like this:
    <replicated-scheme>
    <scheme-name>local-repl-scheme</scheme-name>
    <backing-map-scheme>
    <local-scheme>
    <scheme-ref>base-local-scheme</scheme-ref>
    </local-scheme>
    </backing-map-scheme>
    </replicated-scheme>
    <local-scheme>
    <scheme-name>base-local-scheme</scheme-name>
    <eviction-policy>LRU</eviction-policy>
    <high-units>50</high-units>
    <low-units>20</low-units>
    <expiry-delay/>
    <flush-delay/>
    </local-scheme>
    My test code does the following:
    1. Inserts 50 entries into the cache
    2. Checks to see that the cache size is 50
    3. Inserts 1 additional entry (as I understand it, this should cause the eviction logic to kick-in)
    4. Checks the cache size again, expecting it to now be 20
    With HYBRID and LFU eviction policies, the above logic works exactly as expected. When I switch to LRU however, the code at step 2 always returns a value significantly less than 50. All 50 inserts appear to complete successfully, so I can only assume that some of the entries have already been evicted by the time I get to step 2.
    Any thoughts?
    Thanks.
    Pete L.
    Addendum:
    As usual, in attempting to boil this issue down to its essential elements, I left out some details that turned out to be important. The logic that causes the condition to occur looks more like:
    1. Loop 2 times:
    2. Create named cache instance "TestReplCache"
    3. Insert 50 cache entries
    4. Verify that cache size == 50
    5. Insert 1 additional entry
    6. Verify that cache size == 20
    7. call cache.release()
    8. End Loop
    With this logic, the problem occurs on the second pass of the loop. Step 4 reports a cache size of < 50. This happens with LRU, LFU, and HYBRID-- so my initial characterization of this problem is incorrect. The salient details appear to be that I am using the same cache name each pass of the loop and that I am calling release() at the end of the loop. (If I call destroy() instead, all works as expected.)
    So... my revised question(s) would be: is this behavior expected? Is calling destroy() my only recourse?
    Message was edited by: planeski

    Robert,
    Attached are my sample code and cache config files. The code is a bit contrived-- it's extracted from a JUnit test case. Typically, we wouldn't re-use the same cache name in this way. What caught my eye however, was the fact that this same test case does not exhibit this behavior when running against a local cache directly (as opposed to a repl cache backed by a local cache.)
    Why call release? Well, again, when running this same test case against a local cache, you have to call release or it won't work. I figured the same applied to a repl cache backed by a local cache.
    Now that I understand this is more a byproduct of how my unit tests are written and not an issue with LRU eviction (as I originally thought), it's not a big deal-- more of a curiosity than a problem.
    Pete L.<br><br> <b> Attachment: </b><br>coherence-cache-config.xml <br> (*To use this attachment you will need to rename 545.bin to coherence-cache-config.xml after the download is complete.)<br><br> <b> Attachment: </b><br>LruTest.java <br> (*To use this attachment you will need to rename 546.bin to LruTest.java after the download is complete.)

  • STS: Few Questions about STS ?

    Hi All,
    Right now, I'm working on STS for IP.
    I have some questions about it:
    1. Every time I execute t-code BPS_STS_START, it launch the STS Web Application with different port and HTTP.
    The port should be 8444, and HTTP should be HTTPS .
    I've already checked on t-code: SE80 to TUNGUSKA htm, and see on the properties on it. I got it has correct port and HTTPS.
    How do I fix up this problem ? Do you know what the solution is ?
    2. When I execute sending email initially, why does it always send the email to manager ?
    Whereas It doesn't have the upper level.
    How can I setup it? Is it setup with the Authorization ?
    Thanks a lot all . Appreciate your suggestion about my questions.
    Best regards,
    Daniel N.

    Hi Lucimar,
    Thanks a lot for your kind help.
    If you don't mind, I have another questions.
    When I run t-code: BPS_STS_START. It will launch the browser with this url: https://sapdsb6.xxx.yyy.com:8606/sap/public/myssocntl?sap-client=100
    But it just gives me blank web-page.
    My question is whether it's normal behavior from STS ? I thought it will distribute email notification to start planning, but it's not, when I check on t-code: SOSV, it doesn't give any email queue to be distributed.
    2.
    Btw, regarding my question no. 2, how can I setup it in t-code: BPS_TC at Determine date, Person Resp., Layouts ? Where can I setup it ?
    Would you kindly help me what I have to do ?
    Thanks a lot and have a good day,
    Best regards,
    Daniel N.

  • Basic questions about CISCO IOS

    Hi everybody, Jack here,
    I have some basic questions about the Cisco IOS, could someone help me addressing some of them please? Any feedback would be greatly appreciated.
    Basically, I have two IP addresses assigned by our Cable ISP. From what I understood you can configure a Cisco router for multiple IP addresses using the IOS, thereby allowing someone like myself to take advantage of having multiple IP addresses. This may seem unnecessary to some, but I've always wanted to put the 2nd IP address to use, since after all, I've been paying for it.
    I was just wondering if someone could confirm that what I'm hoping to accomplish is indeed within the capability of the Cisco IOS (i.e. Fully utilize my 2 IP addresses). As well, if someone could kindly suggest a decent CISCO router for online gaming home use that would be super awesome!
    Thank you all so much for reading through the wall of text:)
    Jack

    Jack
    Certainly using multiple IP addresses is in the capability of Cisco IOS routers. How they can be used depends on the relationship of the IP addresses. I am assuming that we are talking about IP addresses assigned for the user to use and that the IP address for the ISP connection is not one of these that we are talking about.
    If both of the IP addresses that you have been assigned are within the same subnet then you would assign one of the addresses to the router interface to establish IP communication between the router and the ISP and to enable Internet connectivity for the devices inside your network that will use the router as their gateway to the Internet. The other address that is assigned can be used for address translation and in particular for static address translation which would make one of your devices inside to be reachable for connections initiated from the Internet (if that is something that you might want to do).
    If the addresses that are assigned to you are in different subnets then you could assign one address to the outside router interface and assign the other address to the router inside interface. Or you could use the second address for address translation.
    I do not have much expertise with online gaming, but I would think that either the Cisco 881 router or the 890 router might be appropriate for you. If 100 Mb connection is sufficient then probably the 881 would be the one to look at. If you need Gig connection then look at the 890.
    HTH
    Rick

  • Question about java-based server app frameworks

    Hello, I am working on a Java applet application and would like to choose a Java-based scalable server framework to use within my applcation. I have found a few like xsocket or QuickServer and have a question about other. And, also, which is the one You may advise? Yours sincerely, Slawek

    For online gaming server. I first heard of xsocket and started using this, but have the problem with NAT. I now know that I need to initiate connections from client behind NAT (server has a public IP) and send messages from server to client within the same connection. I am doing this the following way (as shown in examples- below), but it appears that server receives messages, but client doesnt. I dont listen on any ports in client application and just need to take advantage of the connection initiated (information go from client to server properly).
    Server-
    try{ nbc = pool.getNonBlockingConnection(inetAddress, 8090);
    try{ nbc.write("|01|______|02|______|03|______|04|______|05|______|06|______|07|______|08|______|09|______|10|______"); }catch(Exception ee){}
    }catch(java.io.IOException f){}
    Client-
    public boolean onData(INonBlockingConnection nbc) throws IOException,ClosedChannelException,BufferUnderflowException,MaxReadSizeExceededException{
    String x = nbc.readStringByLength(100);
    System.out.println("S >> C = "+x);

  • A Question about LV Database Connectivi​ty Toolkit

    Hello everyone!
    I have a question about using LabVIEW DataBase Connectivity Toolkit 1.0.2 that eagerly needs your help. I don't know how to programmaticlly create a new Microsoft Access(.mdb)file (Not a new table in a existing Database)using LabVIEW Database Connectivity Toolkit1.0.2. As you know, usually we can set up the connection by creating a Universal Data Link (.udl) file and inputting the path to the DB Tools Open Connec VI in the LabVIEW DataBase Connectivity Toolkit. However, searching a table within an existing database containing a great many tables is a toilfulif job. If I want to use a new DataBase file with the date and time string as its name to log my acquisition data in each measurement process, how to do? I am sure someone of you must can resolve my question, and thanks very much for your help.

    I don't know what your real design considerations are here but, from I understand from your post, this is a really bad way to go about the process of logging data -- IF you want to be able to do significant ad hoc or stored procedures analyses after it has been collected.  Using separate MDB files for data that ONLY differs by one field (namely that date) is not the most efficient way to organize it.  What would be much more efficient would a joined table including the date and a reference ID of some sort for the various measurements that were done.  That way your stored procedures for looking at ALL measurements of type X would be very simple going across ALL dates.  Making such a comparison across multiple MDB files is a much more challenging process AND doing the original data collection in that way doesn't really gain you anything.
    Generally, if something is difficult to do in the DCT (Database Connectivity Toolkit) it's because it's a "not good thing" to do within MDBs.  I know that others probably disagree with that but I've worked with Access since it's initial release and other RDBMs prior to that both through compiled tools, Unix scripts, etc.  You may, of course, still choose to proceed in the way you've described and that may work excellently for you. 

  • Question about printing by page count

    Hi
    I'm new to this and I have a question about printing our invoices by page count.
    We generate our invoice using Crystal 2008 we have invoices that can range from a single page to as many as 6 pages. I would like Crystal to preview/print the reports in order of how many pages are in the report. Example: print all single page invoices first then all invoices that are 2 pages and so on. Is this possible?
    Thank you in advance

    Hi Ido,
    Thanks for your input. This is more or less what Carl was suggesting yesterday and I gave it a lot of thinking. The problem here is that I cannot go with "good enough resolution" - I need perfect resolution.
    The reason for this apparently silly requirement (why would one sort a bunch of invoices by number of pages instead of Customer Number, as long as they end up in an envelope anyway?) is the proposed purchase of a folding/stuffing machine which could do very fast what now takes one person 4 days to achieve.
    One type of machine comes with three settings - fold/stuff 1, 2 or 3-page statements. You need to have three stacks with all the statements that have 1, 2 and 3 pages. The ones with more pages will have to be folded manually, but there are only about two dozen clients that give us that much business. You feed the machine one stack at a time and set it to process the respective number of pages. Any statement that falls accidentally in the other stack will either cause the whole rest of the stack to be mixed up, which is very bad, or cause one customer to receive his invoice and someone else's, which is just embarrassing. I cannot afford any of these scenarios.
    Given that the statement contains variable-length (and depth) messages that vary by month and by profit center, and it could also contain one or more groups of details, each with its own header, it is very risky to try to come up with magic numbers attempting to estimate the number of pages based on the number of detail lines. Someone will still have to eyeball very carefully the initial stack of statements in the areas where the number of pages increases by one and make sure that ordering by number of detail lines did not mix, for example, one report with two pages among two reports with one page. Of course, this increased scrutiny will be necessary only around the areas where the page number transitions from 1->2, 2->3 and 3->4, which is much better than trying to order by number of pages all 1500 statements, but still depends on one's manual intervention and focus and, as a result, makes me pretty uncomfortable.
    I have taken a look at the "Group Sort Expert". If you select the "All" option (all groups) and you had some kind of summary value then you could sort the report by that value. The idea was to create a hidden Average field over the TotalPageCount runtime variable (which will always equal the TotalPageCount for that customer, since it's the same for all the pages in that group) and use that summary field in the "Group Sort Expert", which would have solved the problem elegantly. Unfortunately, there is no way to create a summary field over a runtime variable. I even created a formula that just returns the TotalPageCount, but that formula did not show up in the list of fields available for summarization (while other formulas did).
    It looks like the reporting engine does not allow this type of "late-sorting". I wonder if it is possible at all, because in effect this would require the engine to execute an additional pass: first it should somehow generate the whole report grouped by Customer Number so it knows how many pages are in each group, then it should sort by the number of pages and only after that it could render the report. I'm not sure the engine can do this additional pass.
    I would be very curious to hear about the other (more complex) ideas you mentioned. For example, I have seen (in Crystal 2008) a checkbox allowing "sorting by a formula". I don't know how to write a formula used in sorting, but the basic question in the paragraph above still stands: does the engine even support such a feature?
    Thanks again.

  • Some question about Toshiab reocvery disk for Satellite P300-133

    Hello guys ,
    I got some questions about unpleasant recovery surprises :
    *1)* I just made recovery DVD using Toshiba Recovery Disk Creator and it initially asked for 2 DVDs - but when the first one was done it didn`t require a second blanc DVD . Is it possible all system files of Windows Vista to be written on 1 DVD ?
    *2)* Could I reinstall Windows Vista using the HDD Recovery option ( by pressing F8 ) without using recovery DVD ?
    *3)* Which HDD partitions`ll be formatted ( just system C: or both C: and E: ) using HDD recovery ?
    *4)* What is recovery DVD - Image of the hard drives or Vista installation files ?
    Its pretty bad idea not to include OS on DVD when I have paid for it.
    Thanks for all the problems to Toshiba :(

    1. I think the recovery disk creator means 2 CDs. I have a Portege M700 with Windows Vista and one DVD too. I recovered it and after this I have a clean Vista installation with all drivers and tools from Toshiba. Nothing is missing.
    2. Yes, you can reinstall Vista using the HDD Recovery option when you press F8 at startup. You dont need the recovery DVD but you should create one when your HDD get some errors or something else. If you need a new Recovery DVD, you have pay for one.
    3. The complete HDD and partitions will be formatted, so backup your data first on a DVD, external HDD, USB stick or something else.
    After the reinstallation you have the factory settings.
    4. The recovery DVD is an image of the HDD about the factory settings. If you make a recovery, there are all drivers and tools already installed. Of course on the disk are the installations files of Vista, but you can only use this DVD on your Satellite P300. The disk can not be used on an other Satellite or on a PC.
    When you have more questions, have a look in the user manual. There are a many in formations about the recovery. Its in chapter 3.

  • A question about Xapp524 written by Marc Defossez

    Hi Marc Defossez,
    I have a question about Xapp524. Pls check the figure below. I find the frame pattern should be "00001111". But in the source code AdcFrame.vhd, I find the frame pattern is IntPatternA, IntPatternB, IntPatternC or IntPatternD which donot include "00001111". I cannot understand why. Could you explain it to more detail?
    Thanks
     Rgds
    Orange

    Hi, Thanks for your reply. I don't know what version  it  is. But in the xapp524 “readme.txt” is found
    1. REVISION HISTORY
    Readme
    Date                      Version                            Revision Description
    =========================================================================
    19/08/2012              1.0                                Initial Xilinx release.
    =========================================================================
    So i guess the version may be 1.0.
    The code makes me confused is in the AdcFrame.vhd.   
    -- A std_logic_vector is converted to a string.
    function stdlvec_to_str(inp: std_logic_vector) return string is
    variable temp: string(inp'left+1 downto 1) := (others => 'X');
    begin
        for i in inp'reverse_range loop
            if (inp(i) = '1') then
                temp(i+1) := '1';
            elsif (inp(i) = '0') then
                temp(i+1) := '0';
            end if;
       end loop;
    return temp;
    end function stdlvec_to_str;
    -- A string is converted to a std_logic_vector.
    function str_to_stdlvec(Inp: string) return std_logic_vector is
    variable Temp : std_logic_vector(Inp'range) := (others => 'X');
    begin
        for i in Inp'range loop
            if (Inp(i) = '1') then
               Temp(i) := '1';
            elsif (Inp(i) = '0') then
                Temp(i) := '0';
            end if;
       end loop;
    return Temp;
    end function str_to_stdlvec;
    -- In two wire mode a 12 bit ADC has 2 channels of 6 bits. The AdcBits stay at 12.
    -- In two wire mode a 14 bit ADC has 2 channels of 8 bits. The AdcBits is set at 16.
    -- In two wire mode a 16 bit ADC has 2 channels of 8 bits. The AdcBits stay at 16.
    function FrmBits (Bits : integer) return integer is
    variable Temp : integer;
    begin
    if (Bits = 12) then
    Temp := 12;
    elsif (Bits = 14) then
    Temp := 16;
    elsif (Bits = 16) then
    Temp := 16;
    end if;
    return Temp;
    end function FrmBits;
    -- Word symmetry check
    -- A word (16-bit) is checked for bit pair symmetry
    -- Example: In one byte there are 16 possible symmetry positions.
    -- 00000000, 00000011, 00001100, 00001111,
    -- 00110000, 00110011, 00111100, 00111111,
    -- 11000000, 11000011, 11001100, 11001111,
    -- 11110000, 11110011, 11111100, 11111111,
    -- Bit_7=Bit_6, Bit_5=Bit_4, Bit_3=Bit_2, and Bit_1=Bit_0
    function SymChck (Inp: std_logic_vector) return std_logic is
    variable Temp : std_logic_vector ((Inp'left-1)/2 downto 0) := (others => '0');
    variable Sym : std_logic := '0';
    begin
    for n in (Inp'left-1)/2 downto 0 loop
    Temp(n) := Inp((n*2)+1) xor Inp(n*2);
    Sym := Temp(n) or Sym;
    end loop;
    assert false
    report CR & " Pattern XORed/ORed = " & stdlvec_to_str(Temp) & CR
    severity note;
    return Sym;
    end function SymChck;
    -- When a symmetric byte, bit pattern is found, make the requested pattern rotate
    -- by one bit to become a non-symmetric pattern.
    function BitShft(Inp: std_logic_vector; Wire: integer) return std_logic_vector is
    variable Temp : std_logic_vector (Inp'range):= (others => '0');
    begin
    -- Bit shift all bits.
    -- Example: 16-bit frame word = 11111111_00000000 or 00000000_11110000
    -- After shifting the word returned looks as: 11111110_00000001 and 00000000_01111000
    if (SymChck(Inp) = '0') then
    if (Wire = 1 ) then -- 1-wire, shift 15-bits
    for n in Inp'left downto 0 loop
    if (n /= 0) then
    Temp(n) := Inp(n-1);
    elsif (n = 0) then
    Temp(Temp'right) := Inp(Inp'left);
    end if;
    end loop;
    else -- (Wire = 2) -- 2-wire, shift 8-bits
    for n in (Inp'left-8) downto 0 loop
    if (n /= 0) then
    Temp(n) := Inp(n-1);
    elsif (n = 0) then
    Temp(Temp'right) := Inp(Inp'left-8);
    end if;
    end loop;
    end if;
    elsif (SymChck(Inp) = '1') then
    -- Don't do anything, return the word as it came in.
    Temp := Inp;
    end if;
    assert false
    report CR &
    " Pattern Shifted = " & stdlvec_to_str(Temp) & CR &
    " Comparator Value A = " & stdlvec_to_str(Temp(15 downto 8)) & CR &
    " Comparator Value B = " & stdlvec_to_str(Temp(7 downto 0)) & CR
    severity note;
    return Temp;
    end function BitShft;
    -- Bit swap operation:
    -- Bit n of the output string gets bit n-1 of the input. ex: out(7) <= In(6).
    -- Bit n-1 of the output string gets bit n of the input. ex: out(6) <= In(7).
    -- Bit n-2 of the output string gets bit n-3 of the input. ex: out(5) <= In(4).
    -- Bit n-3 of the output string gets bit n-2 of the input. ex: out(4) <= In(5).
    -- and etcetera....
    -- This: Bit_7, Bit_6, Bit_5, Bit_4, Bit_3, Bit_2, Bit_1, Bit_0.
    -- Results in: Bit_6, Bit_7, Bit-$, Bit_5, Bit_2, Bit_3, Bit_0, Bit_1.
    function BitSwap(Inp: std_logic_vector) return std_logic_vector is
    variable Temp : std_logic_vector (Inp'range);
    begin
    for n in (Inp'left-1)/2 downto 0 loop
    Temp((n*2)+1) := Inp(n*2);
    Temp(n*2) := Inp((n*2)+1);
    end loop;
    assert false
    report CR &
    " Pattern Bit Swapped = " & stdlvec_to_str(Temp) & CR &
    " Comparator Value C = " & stdlvec_to_str(Temp(15 downto 8)) & CR &
    " Comparator Value D = " & stdlvec_to_str(Temp(7 downto 0)) & CR
    severity note;
    return Temp;
    end function BitSwap;
    function TermOrNot (Term : integer) return boolean is
    begin
    if (Term = 0) then
    return FALSE;
    else
    return TRUE;
    end if;
    end TermOrNot;
    -- Constants
    -- Transform the pattern STRING into a std_logic_vector.
    constant IntPattern :
    std_logic_vector(FrmBits(C_AdcBits)-1 downto 0) := str_to_stdlvec(C_FrmPattern);
    -- Shift the pattern for one bit.
    constant IntPatternBitShifted :
    std_logic_vector(FrmBits(C_AdcBits)-1 downto 0) := BitShft(IntPattern, C_AdcWireInt);
    -- Bit swap the by one bit shifted pattern.
    constant IntPatternBitSwapped :
    std_logic_vector(FrmBits(C_AdcBits)-1 downto 0) := BitSwap(IntPatternBitShifted);
    -- Define the bytes for pattern comparison.
    constant IntPatternA : std_logic_vector((FrmBits(C_AdcBits)/2)-1 downto 0) :=
        IntPatternBitShifted(FrmBits(C_AdcBits)-1 downto FrmBits(C_AdcBits)/2);
    constant IntPatternB : std_logic_vector((FrmBits(C_AdcBits)/2)-1 downto 0) :=
        IntPatternBitShifted((FrmBits(C_AdcBits)/2)-1 downto 0);
    constant IntPatternC : std_logic_vector((FrmBits(C_AdcBits)/2)-1 downto 0) :=
        IntPatternBitSwapped(FrmBits(C_AdcBits)-1 downto FrmBits(C_AdcBits)/2);
    constant IntPatternD : std_logic_vector((FrmBits(C_AdcBits)/2)-1 downto 0) :=
        IntPatternBitSwapped((FrmBits(C_AdcBits)/2)-1 downto 0);
    The intPatternA, intPatternB, intPatternC and intPatternD are used as a trainning pattern for the frameclock. As I think, the training pattern should be C_FrmPattern(C_FrmPattern is "11110000"  when  adcbits  is 16 and the wire is 2). Why do you use intPatternA to intPatternD as the training pattern? 
     The AdcFrame.vhd is attached.
     

  • 2 questions about Dropdown Box Item

    Hi all,
    I just started using Design Studio and I have two questions about the Dropodown Box Item (well actually it's more about scripting...):
    - in the startup script of the application I want to populate one dropdown box with master data values of the infoobject 0FISCPER, how can I realize that? (if I use "DS_1.getMemberList...." I get only the values of 0FISCPER for which there is transaction data)
    - as a datasource I use a query with 2 variables:
         - Is it possible to populate these 2 variables with default values and skip the initial Variabile Initialization Screen?
         - I use 2 dropdown box items to set the values of these 2 variables. For one variable everything it's ok (I select the value in the dropdown and the      script populate the variable with that value and the data in the crosstable changes accordingly) but for the other variable associated to the 0FISCPER      infoobject when I select the value in the dropdown it's seem that nothing happens since the Variabile Initialization Screen pop-up and an error says that      I have to select a value for the variable. I did the same simple scripts for the these 2 variables, why does it work for one variable and not for the other?
    Thanks,
    Michele

    I answer myself about one question:
    "Is it possible to populate these 2 variables with default values and skip the initial Variabile Initialization Screen?"
    Yes, it's possible to create a script in order to populate the variables in the event "On Variable Initialization" of the Application and set false to the property "Force Prompts on Startup".

  • Questions about entering OCP

    I have a few questions about OCP:
    1) Why certified? Yes I read the answer in the FAQ in the forum, but it still doesn't convince me, what does it give to me? Does it qualify as an academic degree?
    2)Ok, I decided to try and do it, now what? How can I know if there is such a thing in my country? What about books, tests and courses?
    3)How many levels are there? I saw a few, from Professional to Master, what do those titles mean?
    4)Do the test cost a lot of money? Because I have financial issues and might not be able to come up with a large sum of money.
    Thanks in advance.

    982301 wrote:
    I have a few questions about OCP:
    1) Why certified? Yes I read the answer in the FAQ in the forum, but it still doesn't convince me, what does it give to me? Does it qualify as an academic degree?
    2)Ok, I decided to try and do it, now what? How can I know if there is such a thing in my country? What about books, tests and courses?
    3)How many levels are there? I saw a few, from Professional to Master, what do those titles mean?
    4)Do the test cost a lot of money? Because I have financial issues and might not be able to come up with a large sum of money.
    Thanks in advance.Matthew's covered pretty much everything. And no-ones focring you to do it.
    In general, (and there are exceptions) OCA is a pre-requisite to OCP on the same track. Often (but not always) you will need to get to OCP level rather than OCA to be properly recognised.
    OCM is a very high level and you dont really need to worry abut it initially.
    OCS is normally associated with the Oracle Partnership program(OPN), but its specialist certifications can sometimes be applicable to those outside of it.
    OCE is an expert in a particular area not directly associated with a track.
    'OCP' covers a wide range of certifications and its interesting to focus on the ones that apply to yourself. So a better question to ask is which certifications in particular apply to me, and ideally have some correlation with current experience and where you intend your career to go.
    Doing the certificaiton will give you a resource cost in terms of training and taking the exam. You should identify that cost prior to emarking on study.
    In general OCA level does not require mandatory training. Usually OCP level and sometimes OCE does require mandatory training course attendance and verification and you need to factor that into account.
    Of note is that PL/SQL OCP; MYSQLDBA OCP and Java SE OCP do not currently require mandatory training AFAIK; whereas DBA OCP (which often people think as the default OCP) does requre mandatory training. Unusually for OCP the DBA OCP training can sometimes be obtainined via the WDP program for significantly less cost ( I will not vouch for quality of all WDP providers). Got to http://certification.oracle.com and identify and cost.

Maybe you are looking for

  • Error 1620 During AIR Update and AIR App updates

    On of our customers is having repeated problems installing our application update on Windows XP SP3. I checked the install.log and see that the most recent error he is getting is Windows Installer Error 1620, but my research has not turned up very mu

  • Crystal Report v 9 Save As Crystal Report 8.5

    Post Author: JacciM CA Forum: General I have CR 8.5 licensed and installed on my PC but another user (Kim) has CR 9.0 licensed and installed.  They created a report that I now have to generate data with while Kim is out on unexpected medical leave. 

  • How can i get my purchases on my computer without plugging my ipod?

    My iTouch got stolen, so I obviously can't plug it to my computer anymore. Anyway, I'd like to get my purchases on my iTunes but I can't... Any help?

  • Reload data when chaning view state

    Hi all, I have a main application ("MTF.mxml") and two view states in the application (myChallenges) and (responses). In the view states, I have inserted two customized components. The MyChallenges-component is a list of challenges and when a challen

  • SAP newbie - would like to understand integration options

    What are the choices when it comes to integrating SAP (MySAP, ECC5, Oracle Db) with another external ERP platform (Windows based with MS SQL Db).  We wish to create approx 8 interfaces (Sales, Accounts, Stock etc) between a retail ERP and SAP.  I und