Labview 2011 FPGA Compile Error

Hi,
I'm new to FPGA. I want to use Labview 2011 SP1 with the Spartan 3E starter kit from Xilinx (Spartan 3E driver available from NI labview website).
I'm trying to work my way through the examples that came with the driver. I've run into the same compiler error with a number of the examples. The error is attached.
Error 7 occurred at Read from Text File in niFpgaCompileWorker_CheckForErrors.vi->niFpgaCompileWorker_JobComplete.vi->niFpgaCompile_Worker.vi:1
Possible reason(s):
LabVIEW:  File not found. The file might have been moved or deleted, or the file path might be incorrectly formatted for the operating system. For example, use \ as path separators on Windows, : on Mac OS X, and / on Linux. Verify that the path is correct using the command prompt or file explorer.
C:\NIFPGA\compilation\Shift_FPGATarget_Shift_87E8371C\Spartan3EStarter.bld
I've checked the registry to ensure that the path to the compiler is correct.
Any assistance would be welcome.
Regards,
James.
Attachments:
Labview2011_FPGA_CompileError.JPG ‏96 KB

Hi,
I don't have any Xilinx tools installed - other than the ones that installed with the Labview FPGA module.
I have attached the compilation logs and output results from my attempt.
I have renamed the extension on the following files from .lvtxt to .txt
BuildResults.txt
CodeGenerationResults.txt
Regards,
James
Attachments:
BuildResults.txt ‏5 KB
XilinxLog.txt ‏42 KB

Similar Messages

  • FPGA Compile Error due to error in mapping process

    Received the following error while trying to compile a FPGA VI on a PC. (Refer to attachment for details). My PC has a fresh installation of English Windows 2000 with sp4. No other software is installed except LabVIEW 8.2 & FPGA Module 8.2 & NI-RIO.
    I have checked this KB and confirmed that regional settings are English. But the error still exists. I tried compiling the same VI on my laptop with Windows 2000 sp4 and it was successful. Can someone help me? Thank you very much!
    FPGA Compile Error When Compiling LabVIEW FPGA VI
    Error found in mapping process, exiting...
    Errors found during the mapping phase.  Please see map report file for more
    details.  Output files will not be written.
    Design Summary
    Number of errors   :  17
    Number of warnings :   8
    ERROR:Xflow - Program map returned error code 2. Aborting flow execution...
    Message Edited by maimai on 01-08-2007 01:00 AM
    Attachments:
    FPGA Compile Error.txt ‏8 KB

    Hello,
    The key will of course be to isolate differences between your machines.
    I wonder if the following more specific language setting could be the lingering problem.  LabVIEW is a non-unicode program, and there is a language setting in Windows (at least XP) specifically for non-unicode programs.  Try the following (or it's Win2K equivalent) if you haven't already:
    0. Open "Control Panel"
    1. Open the "Regional and Language Options"
    2. On the Advanced tab, choose English (United States) from the drop-down menu under the top section "Language for non-Unicode Programs"
    - This language setting is different from the setting on the "Regional Options" tab. 
    Any other differences you can isolate would be potentially insightful - if you have the same software versions installed in the same order on both machines, we may be looking for something a bit subtle, such as the suspected language setting.
    Best Regards,
    JLS
    Best,
    JLS
    Sixclear

  • Fpga compile error Process "Generate Programmin​g File" failed

    When I tried to compile any fpga vi. It always end up with this kind of error: 
    WARNING:Bitgen:26 - Bitgen only supports DRC but not bitstream generation on
    this device. This condition can occur if there are problems obtaining a
    license to run bitgen or if the design targets a device which is Early
    Access.
    Process "Generate Programming File" failed
    Even when i tried the example vi given by NI.
    However when i select the FPGA target pxi-7831R, it succeed. Other fpga targets like pxi7853R pxie7965R or Pxie7953R don't work well.
    PS: i use labview 2011 NI-RIO 4.0 and xilinx tools 13.4

    Hello,
    The key will of course be to isolate differences between your machines.
    I wonder if the following more specific language setting could be the lingering problem.  LabVIEW is a non-unicode program, and there is a language setting in Windows (at least XP) specifically for non-unicode programs.  Try the following (or it's Win2K equivalent) if you haven't already:
    0. Open "Control Panel"
    1. Open the "Regional and Language Options"
    2. On the Advanced tab, choose English (United States) from the drop-down menu under the top section "Language for non-Unicode Programs"
    - This language setting is different from the setting on the "Regional Options" tab. 
    Any other differences you can isolate would be potentially insightful - if you have the same software versions installed in the same order on both machines, we may be looking for something a bit subtle, such as the suspected language setting.
    Best Regards,
    JLS
    Best,
    JLS
    Sixclear

  • FPGA: compilation error: size of concat operation is different than size of the target

    Today I got an error, for which I couldn't find a solution.
    I use the PXI-7813R FPGA, with Xilinx tools 10.1
    At compilation, the error I get is:
    Compilation failed due to a Xilinx error.
    Details:
    ERROR:HDLParsers:804 - "C:/NIFPGA/jobs/TESY1S8_X4PR8hn/NiFpgaAG_000000ce_CaseStructureFrame_0000.vhd" Line 301. Size of concat operation is different than size of the target.
    ERROR:HDLParsers:804 - "C:/NIFPGA/jobs/TESY1S8_X4PR8hn/NiFpgaAG_000000ce_CaseStructureFrame_0000.vhd" Line 372. Size of concat operation is different than size of the target.
    --> 
    Total memory usage is 185944 kilobytes
    Number of errors   :    2 (   0 filtered)
    Number of warnings :    0 (   0 filtered)
    Number of infos    :    0 (   0 filtered)
    Process "Synthesis" failed
    Start Time: 18:25:26
    End Time: 18:28:54
    Total Time: 00:03:27
    What can cause a concat size difference?

    This is by the way the configuration:
    Project: FPGAWrapperMG100125AOD.lvproj
    Target: FPGA Target (RIO0, PXI-7813R)
    Build Specification: fpga_integrator_AOD_random_access
    Top level VI: fpga_integrator_AOD_random_access.vi
    Compiling on LabVIEW FPGA Compile Cloud Service
    Compilation Tool: Xilinx 10.1
    Start Time: 05.07.2011 19:06:12
    Run when loaded to Fpga: FALSE
    Xilinx Options
    Design Strategy: Custom
    Synthesis Optimization Goal: Area
    Synthesis Optimization Effort: Normal
    Map Overall Effort Level: Default Xilinx setting
    Place and Route Overall Effort Level: High
    JobId: FNW72uPWorking Directory: C:\NIFPGA\compilation\FPGAWrapperMG100_FPGATarget_fpgaintegratorAO_9D5B4237
    The Xilinx log is attached.
    Attachments:
    XilinxLog.txt ‏80 KB

  • FPGA Compile error and cannot find the compilatio​n server

    Hi,
    My name is Bonghun. I recently installed the Labview 2011; previously, I installed 2010 and Labview worked very well.
    I am using a Compact Rio (cRIO 9022 and chassis 9114).
    I installed Labview 2011, Real time and FPGA modules including crio 4.0, Xilinx 10.1 and 12.4.
    When I compile a FPGA.vi, it doesn't compile FPGA.vi.
    Labview requests the message which ask to select compile server. After selecting the local compile server, Labview
    requests at each step again and again.
    Error number is Error - 123002. occured at niFpgaCompileFarm_OpenJob.vi
    I tried to remove all programs and re-install several times but still I could not find the solution.
    Please help me and let me know what is problem in my system.
    Thank you.
    Attachments:
    Trouble in compile.ppt ‏179 KB

    I am having this issue with LabVIEW 2012 running in Windows XP on a PXI-1042Q to run an FPGA on cRIO-9075.
    I had no problem running through the process on my office PC with the same Software/Modules/Drivers installed. Compiler ran without problems.
    I ported my project over to the PXI Chassis and cannot compile because CompileWorker closes right after intermediate files finish generating. I am using Local Server and all the necessary modules including Xilinx are installed (I uninstalled EVERYTHING and did a complete reinstall also and still get the same result). 
    When the Compilation Status Window comes up, it says Attempting to connect to compile server and CompileWorker immediately says it has Encountered a Problem and needs to close. The Compilation status Window gives me a message saying:
    "LabVIEW FPGA: The compilation cannot be performed by the compile worker. The compile worker may be configured incorrectly for this compilation, or it may be in an error state."
    I also tried making a new project with a simple FPGA VI and tried compiling and got the same results.
    Any thoughts?

  • Crio FPGA Compiling error

    Hello All,
    I've run into a compiling error that has me stumped. I'm using labview 8.5.1, NRIO 2.4.0, and FPGA 8.5.1 on a CRIO-9014 & 9104. When compiling the code attached I get the following error:
    Analyzing generic Entity <bushold> in library <work> (Architecture <rtl>).
    ERROR:Xst:807 - "C:/NIFPGA85/srvrTmp/LOCALH~1/PLANEV~1/bushold.vhd" line 1541: arguments of 'or' operator must have same lengths.
    -->
    Total memory usage is 546644 kilobytes
    Number of errors   :    1 (   0 filtered)
    Number of warnings :  540 (   0 filtered)
    Number of infos    :    2 (   0 filtered)
    ERROR:Xflow - Program xst returned error code 6. Aborting flow execution...
    My best guess is this error has something to do with the metafiles generated by labview before final compilation into the FPGA bitstream. I've tried tweaking the code here and there and haven't found the source of the error yet. I'm going to go through with disable blocks and see where the error lies.
    Anyone else run into something like this? I could use some help.
    Attachments:
    FPGA Code.zip ‏1354 KB

    Hi mmalluck,
    Clusters of 1D arrays are supported in LabVIEW FPGA.  I was able to compile a small VI with code similar to yours - a for loop with four autoindexed tunnels bundled into a cluster. 
    I am not entirely sure why separating the arrays eliminated that error, but I am glad to hear that your program compiles now. 
    Jennifer R.
    National Instruments
    Applications Engineer

  • FPGA compilation error 1026 using LV2011SP1

    I just upgraded to LabVIEW 2011SP1 and I cannot get a PCI-7831R to compile.  In addition to LV2011SP1, I have the following installed:
     - FPGA (Version 11.0.1)
     - NI-RIO (Version 4.01)
     - Xilinx Tools (10.1)
    I have a very simple VI that just has a Boolean control on the front panel sending a value to an FPGA I/O on the block diagram.  I have created/compiled more complex VI's using LabVIEW 2010 without any issues.  My issue with 2011SP1 is that once the intermediate files have been generated, a Code Generation Error screen pops up with Internal Errors.  It says
    Error 1026 occurred at an unidentified location
    Possible Reason(s):
    LabVIEW: VI reference is invalid
    I'm not sure what VI reference it is referring to.  I have tried to compile this just as I have in the past, but to no avail.  I have removed previous versions of LabVIEW from my machine and installed/re-installed the LabVIEW software and other modules from the distribution DVD's.  It seems as though I always get into trouble when trying to upgrade the NI software. 
    Is there something that I am missing.  I get the same message when trying to compile the examples that are included with the installs.  I know that my R-Series card is installed and working properly because I can run sequences via TestStand that call VI's I created using LV2010.  Any help would be appreciated!
    Attachments:
    ErrorWindow.jpg ‏40 KB

    Hey Josh,
    Thanks for responding.  Late friday I added the LabVIEW real time drivers and was able to compile this once earlier this morning with no errors.  I then made a small changes (by putting the Boolean control in a while loop) and tried to compile again.  Now I keep getting various Xilinx compile errors.  Starting to get frustrated since the errors vary slightly every time I try to compile.  I will attach a couple of screen shots as well as a zip file that contains the project and VI that I am trying to compile.
    Attachments:
    tryout.zip ‏26 KB
    Screen_Capture02 Apr. 02 12.27.jpg ‏87 KB
    Screen_Capture03 Apr. 02 12.30.jpg ‏55 KB

  • FPGA Compile error - Actual of formal out port cout cannot be an expression

    Details:
    ERROR:HDLCompiler:192 - "C:\NIFPGA\jobs\BPO5kq2_O6tyN2U\OC4_Sine_Cosine_LUT_Constant_Amplitude_dash_optimised_vi_c.vhd" Line 1408: Actual of formal out port cout cannot be an expression
    ERROR:HDLCompiler:854 - "C:\NIFPGA\jobs\BPO5kq2_O6tyN2U\OC4_Sine_Cosine_LUT_Constant_Amplitude_dash_optimised_vi_c.vhd" Line 69: Unit <vhdl_labview> ignored due to previous errors.
    VHDL file C:\NIFPGA\jobs\BPO5kq2_O6tyN2U\OC4_Sine_Cosine_LUT_Constant_Amplitude_dash_optimised_vi_c.vhd ignored due to errors
    -->
    The compilation gets to the "Estimated device utilisation" stage but then stops shortly after with a compilation error.
    The Line in question (1408) relates to the output of a "Reinterpret FXP" node with the text
    cOut => (others => '0'),
    in the port map portion of the code.  This corresponds to the output of the FXP reinterpret node being directly connected to an indicator in a sub VI whose output is then input directly to a high thoughput multiply node.  The code is part of a sinus cosinus LUT I have programmed.  It used to compile no problem but I think I know where the problem is.  In one instance I only utilise the Sinus output of the algorithm and theoretically, Xilinx can optimise away the Cosinus part.  I have two instances of this VI in my code and looking at the one NOT generating errors, the output is associated with a Cosinus indicator.
    cOut => s_Cosine_2434,
    It would seem that the pathway is essentially optimised away but the Xilinx compiler has a problem with the indicator being present on the sub-VI but the idnicator not being utilised anywhere.  As such, the cOut gets set to an invalid value.  I assume the immediate proximity of the FXP Reinterpret to the output of the sub-VI is an important aspect of this problem.
    I think I know enough now to fix this problem (manually remove the path by duplicating the sub-vi) but this is perhaps a useful feedback for future bugfixes in the FPGA module.  This isn't the first time this kind of incorrect code removal has given me problems but it's the first time I've been able to clearly locate the problem.
    Shane
    Say hello to my little friend.
    RFC 2323 FHE-Compliant
    Solved!
    Go to Solution.

    I am currently attempting a compile after changing some things.
    Just a side question.  Is this particular to the Reinterpret node or are other "pink nodes" also affected by this?  If I don't connect the output of a high throughput add, will it result in the same behaviour?
    PS OK, it seems to be compiling now.  I managed to juggle around the nodes a bit in my sub-VI to make sure the "reinterpret" is not the last node before the indicator.  It seems to be compiling now.  A question which is in my head at this time is: Does the "reinterpret" node prevent anything before it from being optimised away by the Xilinx compiler?  Are there other nodes which cannot be removed, even if the outputs are not being used?  This would immediately seem to suggest to me that such nodes need to be as close to the source as possible in order to reduce the amount of code which cannot be removed as "dead code" during the Xilinx compile process.
    Say hello to my little friend.
    RFC 2323 FHE-Compliant

  • FPGA compile error

    Good Afternoon,
    I am getting a compile server error that I do not know how to track down. The server is set up and working fine (LV 8.6 cRIO, FPGA) I can send over on FPGA file and it compiles fine. When the second is sent, the compile request is received and starts, but a quickly get the following pop-up:
    "Status: Compilation failed due to a Compile Server error.
    Regenerating IP...
    ERROR:coreutil - Failure to set parameters on core: Illegal combination: Port A
       Width and Port A Depth
    ERROR:coreutil - Failure to generate output products
    ERROR:coreutil - An error occurred while running Java. Please examine the
       console or coregen log file for a specific IP related error.
       If there is no specific error the problem may be due to memory limitations.
       For more information please consult solution record 21955 available from:
       http://www.xilinx.com/xlnx/xil_ans_display.jsp?getPagePath=21955Finished Regenerating.
    ERROR:sim:57 - Error found during generation
    Start Time: 2/17/2009 10:22:28 PM
    End Time: 2/17/2009 10:22:54 PM"
    I am aware that something is amiss in my FPGA VI, but I am unsure what the above messages are telling me to look at. Any ideas? It was working/compiling, but I changed the cRIO backplane configuration, removing some inputs and adding different ones.
    Message Edited by Mellobuck on 02-17-2009 09:51 AM
    Data Science Automation
    CTA, CLA, CPI
    SHAZAM!
    Solved!
    Go to Solution.
    Attachments:
    FPGA error.JPG ‏63 KB

    Xilinx doesn't support a depth of 1. If possible
    carry on using a depth of 8 or use some other "register" to hold the
    value such as locals, globals, fifos, feedback nodes, etc. Have a look at the following:
    Why Won't FPGA Code with a Memory Depth of 1 Compile?
    Adnan Zafar
    Certified LabVIEW Architect
    Coleman Technologies

  • Fpga compile error Port width mismatch

    When I try to compile a FPGA vi, the following error occurs. 
    LabVIEW FPGA: The compilation failed due to a Xilinx error.
    Details:
    ERROR: [Synth 8-549] port width mismatch for port 'cEIOParameter0Signal': port width = 32, actual width = 24 [C:/NIFPGA/jobs/Riuys15_TD5ekmx/NiFpgaAG_0000051e_SequenceFrame.vhd:41]
    ERROR: [Synth 8-285] failed synthesizing module 'NiFpgaAG_0000051e_SequenceFrame' [C:/NIFPGA/jobs/Riuys15_TD5ekmx/NiFpgaAG_0000051e_SequenceFrame.vhd:21]
    ERROR: [Synth 8-285] failed synthesizing module 'NiFpgaAG_0000051d_CaseStructureFrame_0002' [C:/NIFPGA/jobs/Riuys15_TD5ekmx/NiFpgaAG_0000051d_CaseStructureFrame_0002.vhd:33]
    ERROR: [Synth 8-285] failed synthesizing module 'NiFpgaAG_0000051a_SequenceFrame' [C:/NIFPGA/jobs/Riuys15_TD5ekmx/NiFpgaAG_0000051a_SequenceFrame.vhd:34]
    ERROR: [Synth 8-285] failed synthesizing module 'NiFpgaAG_00000514_CaseStructureFrame_0001' [C:/NIFPGA/jobs/Riuys15_TD5ekmx/NiFpgaAG_00000514_CaseStructureFrame_0001.vhd:41]
    ERROR: [Synth 8-285] failed synthesizing module 'NiFpgaAG_00000513_CaseStructureFrame_0000' [C:/NIFPGA/jobs/Riuys15_TD5ekmx/NiFpgaAG_00000513_CaseStructureFrame_0000.vhd:50]
    ERROR: [Synth 8-285] failed synthesizing module 'NiFpgaAG_00000510_CaseStructureFrame_0000' [C:/NIFPGA/jobs/Riuys15_TD5ekmx/NiFpgaAG_00000510_CaseStructureFrame_0000.vhd:143]
    ERROR: [Synth 8-285] failed synthesizing module 'NiFpgaAG_00000507_CaseStructureFrame_0001' [C:/NIFPGA/jobs/Riuys15_TD5ekmx/NiFpgaAG_00000507_CaseStructureFrame_0001.vhd:146]
    ERROR: [Synth 8-285] failed synthesizing module 'NiFpgaAG_000004ff_WhileLoop' [C:/NIFPGA/jobs/Riuys15_TD5ekmx/NiFpgaAG_000004ff_WhileLoop.vhd:157]
    ERROR: [Synth 8-285] failed synthesizing module 'DM_dash_1575_ModuleResource_vi_colon_Clone19' [C:/NIFPGA/jobs/Riuys15_TD5ekmx/DM_dash_1575_ModuleResource_vi_colon_Clone19.vhd:144]
    ERROR: [Synth 8-285] failed synthesizing module 'niFpgaMDKSupportARV_vi_1_colon_Clone18' [C:/NIFPGA/jobs/Riuys15_TD5ekmx/niFpgaMDKSupportARV_vi_1_colon_Clone18.vhd:143]
    ERROR: [Synth 8-285] failed synthesizing module 'NiFpgaAG_Manager' [C:/NIFPGA/jobs/Riuys15_TD5ekmx/NiFpgaAG_Manager.vhd:96]
    ERROR: [Synth 8-285] failed synthesizing module 'TheWindow' [C:/NIFPGA/jobs/Riuys15_TD5ekmx/TheWindow.vhd:196]
    ERROR: [Synth 8-285] failed synthesizing module 'toplevel_gen' [C:/NIFPGA/jobs/Riuys15_TD5ekmx/toplevel_gen.vhd:110]
    Finished RTL Elaboration : Time (s): cpu = 00:00:53 ; elapsed = 00:01:04 . Memory (MB): peak = 861.121 ; gain = 715.336
    ERROR: [Common 17-39] 'source' failed due to earlier errors.
    INFO: [Common 17-83] Releasing license: Synthesis
    1738 Infos, 30 Warnings, 2 Critical Warnings and 14 Errors encountered.
    synth_design failed
    ::ERROR: [Common 17-39] 'source' failed due to earlier errors.
    while executing
    "source -notrace {./.Xil/Vivado-9588-/realtime\toplevel_gen.tcl}"
    invoked from within
    "synth_design -top "toplevel_gen" -part "xc7k70tfbg676-1" -flatten_hierarchy "full""
    (file "C:/NIFPGA/jobs/Riuys15_TD5ekmx/synthesize.tcl" line 25)
    invoked from within
    "source "C:/NIFPGA/jobs/Riuys15_TD5ekmx/synthesize.tcl""
    # exit
    INFO: [Common 17-206] Exiting Vivado at Thu May 07 10:24:37 2015...
    I am not sure what the error is all about. Could someone help me?

    It's usually better to take a look at where the code failed to compile in the process than trying to read through those logs.  In future cases, you'll want to show that information as well.
    Depending on your hardware, you might have been able to see the compile happen simply by hitting compile again. 

  • FPGA Compilation Error (Some signals were not properly constrained in the design)

    Attempting to compile even simple FPGA VIs is returning in failure for me, and I'm not sure why. This is on windows 7, 32 bit, Xilinx 14.4, LabView 2013, for sbRIO-9632.
    "Some signals were not properly constrained in the design." in the summary.
    and
    "Failed to load library libPort_ExecLoader.dll because of The specified procedure could not be found." in the Xilinx log.
    Can anyone help? Many thanks!
    Attachments:
    summary.txt ‏1 KB
    XilinxLog.txt ‏17 KB

    Hello everyone !
    I use a cRIO-9103 for my project and I attempted to compile a new VI for the FPGA. The former code compiled without problem, but the new one failed with the error "Some signals were not properly constrained in the design". I read the issue that was given in this topic, but the strange thing is that I just added a while loop in my old program to make my new one. 
    I joined to this message the new programm of the FPGA and the Xilinx log (I use Xilinx 10.1). The While Loop which causes problems is called "Traitement des informations envoyées par la centrale inertielle (IMU)". Can someone save me please ?  ^^
    Thanks !
    Attachments:
    Init_9401.vi ‏279 KB
    XilinxLog 10_05_2014 16_00.txt ‏993 KB

  • NI FPGA Compilation error

    Hi ,
    I am using NI Labview version 2010 SP1 ,Xilinx 11.5 compilation tool software  and i need to compile the FPGA code for NI 7851r FPGA,While i start compiltaion i am getting the error ("Error:sim 433 Could not find the selected IP. I attached the snap here.Kindly help us to fix this.
    Attachments:
    error.jpg ‏71 KB

    Hi Jaffer,
    It looks like you have all the right software installed for this compilation so I dont think that is the problem. Are you compiling your own code? Could you try a blank VI or an example for the 7851r? If the examples work then please post your project zipped together so I can view what may be going wrong. If you cannot compile a blank VI then please post a MAX technical report so I can confirm that you have all the software you need installed on the system.
    MAX Tech Report:
    http://digital.ni.com/public.nsf/allkb/271F252B4EF0A2E0862570E70056A1E4
    Patrick H | National Instruments | Software Engineer

  • CRIO 9014, FPGA compilation error

    i am using cRIO 9014, in that while compiling the code in FPGA i am getting attached error.
    please guide me ob that ......
    Prashant Soni
    LabVIEW Engineer
    Solved!
    Go to Solution.
    Attachments:
    errorfpga.PNG ‏42 KB

    Hi Prashat,
    The error displayed is clear.
    Your system time is older than Install time.
    Change it to correct time and try it...
    Also, if you change your system time (i.e computer time), some softwares will not work. (one more example is Gmail. Try to log into Gmail after settting year to previous year in your system time. It will show SSL error.).

  • FPGA Compile Error: Timing Violation

    All,
    I've got an issue here I've been struggling with for a couple days now. I'm trying to implement a very watered down Kalman filter in the FPGA (I wanted it to run faster than I had it running in the RT.) After quite a bit of optimization, I'm still stuck to no avail. This first thing I tried was simply using the filter I had and changing my math to use fixed point instead of floating point. However this was about 20 operations in series (multiplies, adds, subtracts, and one inverse, (( what's the most efficient way to do an inverse in the FPGA? ))) and the FPGA did not like that at all. So I tried to pipeline the operation. Now mind you this isn't a true pipline because new data cannot be introduced to the pipe in each cycle (I need the output of the last cycle before I can introduce new data,) but I was simply trying to split up the math and have the FPGA only do part of it on each iteration of the while loop, because I thought the FPGA would be able to run this filter way faster than I needed to.
    Here's the error I'm getting...
    Status: Compilation failed due to timing violations.
    Click the Investigate Timing Violation button to display the Timing Violation Analysis window.
    Device Utilization
    Total Slices: 59.0% (12084 out of 20480)
    Flip Flops: 28.5% (11692 out of 40960)
    Total LUTs: 45.9% (18788 out of 40960)
    Block RAMs: 0.0% (0 out of 40)
    Timing
    MiteClk (Used by non-diagram components): 33.04 MHz (69.24 MHz maximum)
    40 MHz Onboard Clock: 40.41 MHz (30.29 MHz maximum)
    Actual Xilinx Options
    Synthesis Optimization Goal: Speed
    Synthesis Optimization Effort: High
    Map Overall Effort Level: High
    Place and Route Overall Effort Level: High
    Start Time: 6/6/2010 10:11:47 PM
    End Time: 6/6/2010 10:57:33 PM 
    And then when I try to investigate the timing violations the "Timing Violation Investigator???" gives me this!!:
    Possible reason(s):
    An internal error occurred. Please try again or contact National Instruments.
    Details:
    Error Code --> -61499
    Error Text --> <APPEND>
    Additional Information: There is no matching tag in Xilinx twx file
    There is no matching tag in Xilinx twx file
    Also,
    I was able to successfully run the "Timing Violation Investigator" a couple times. The first time it pointed to a multiply operation which i replaced with high throughput math and pipelined. The second time it pointed to "non-diagram components," how am I supposed to fix that?
    I've attached the code and the xflow.log! Thanks for your time!
    Thanks!
    Ken 
    Attachments:
    FPGA.zip ‏778 KB
    xflow.txt ‏1138 KB

    Hey Ken!
    If you hit the "Investigate Timing Violation" button and go to the analysis page, it looks like there are a couple of math functions that are taking longer than expected.
    If you replace them with high-throughput math equivalents (from the FPGA Math & Analysis palette) and manually configure the inputs and output FXP word/integer lengths, you might be able to get them within the timing requirements.
    Let me know if that works!
    Caleb Harris
    National Instruments | Mechanical Engineer | http://www.ni.com/support

  • FPGA Compile error on remote compile worker - but not on local!?

    When i compile using Rebuild for building my VI to FPGA on NI cRio-9082 I get this:
    Then I kill the compile worker on the develop machine, to use remote compile worker.
    Then i get this:
    Should the timing constrains not be the same? 
    Last lines in Xilinx log:
    Process "Generate Post-Place & Route Static Timing" failed
       done.

    Mauritius,
    Brad and I took a closer look at the Xilinx log and we see a line printed that will hopefully help us narrow down the problem. Immediately after completion of the Analysis phase the log has the following line recorded:
    *** glibc detected *** /usr/local/natinst/NIFPGA/programs/xilinx13_4/ISE/bin/lin/unwrapped/trce: double free or corruption (!prev): 0x09fd05e0 **
    It seems there is a problem with the linux worker attempting to free the same memory twice which may be causing the compiler to exit during the mapping phase. We have a few more questions and would like to take a closer look into things for you.
    1. Will any other designs compile succesfully on this linux worker (even very basic VIs)?
    2. If other designs compile, will a simpler version of this design still fail consistently?
    3. If the failed compilation seems to be design specific, would you be willing to provide your design for us to try and reproduce the failure?
    Feel free to personal message me if necessary.
    -Nick-
    Nick C | Staff LabVIEW Platform Product Support Engineer | National Instruments

Maybe you are looking for

  • Populate_list doesn't work

    Hi, I use Forms 6 and I want to make a combobox with : -A hard coded value (in property) : "All" -Values taken from a table I use at WHEN NEW FORM INSTANCE : populate_list('COMBO_MOTIF', 'MOTIFS'); COMBO_MOTIF is the name of the combobox. MOTIFS in t

  • SD Card Reader for IPod Touch

    Is anybody aware of the availability of an SD Card Reader for an iPod Touch? Thanks In Advance

  • Can't pair Motorola H500 to 1stGen iPhone

    I just bought a Motorola H500 bluetooth headset and for some reason my iPhone can't/won't see it to pair to it. Any suggestions?

  • RSCRM_BAPI problem

    Hi all, i'm using transaction RSCRM_BAPI to create a csv file from a BEx query. In the section rows of the query I have a structure which contains some selections, each one with its own name. This is a simplified example: Inside the structure "STRUCT

  • Adobe Muse Email Link

    I'm trying to link up an email address to my website and when i go to preview it to see if it works nothing happens. I use the {mailto:} then my address and nothing happen when i go to click it. Can someone please help me figure out this problem. I n