Newbie.. how to set command prompt and command aliases

So, I usually log an as a root (Solaris 10), and I would like to have a current folder in my prompt, so I put a line in /etc/profile:
PS1="${LOGNAME}: `pwd`: "
but it shows only a folder that was current at a logon (root home folder)... how could I put it to be dynamic?
also, I would like to have alias
ll=ls -l
and I tried to put it in /etc/profile, but it doesn't work.
how could I change this?
I know these are begginers questions :)
tnx :)

bongo_zg wrote:
So, I usually log an as a root (Solaris 10), and I would like to have a current folder in my prompt, so I put a line in /etc/profile:
PS1="${LOGNAME}: `pwd`: "Logging in as root like that is a bad idea.
I'd suggest that you do one of the following
- use roles so that an ordinary user can perform some tasks that require elevated privileges
- use pfexec
- use sudo
but it shows only a folder that was current at a logon (root home folder)... how could I put it to be dynamic?
also, I would like to have alias
ll=ls -l
and I tried to put it in /etc/profile, but it doesn't work.
how could I change this?
I know these are begginers questions :)You haven't said which shell you are using.
For sh and ksh, then something like
PS1="\$LOGNAME: \$PWD: "
should work.
Paul

Similar Messages

  • How to set the router and play the Command & Conquer using direct IP mode?

    My router is WRT54GFirmware Version: v3.03.1
    How to set the router and play the Command & Conquer using direct IP mode with other computer?

    Hi, when you say direct IP mode, what do you mean ? do you wanna play the game online or on the LAN ??
    can you give a few more details.

  • How to set the IP and MAC address in C program?

    My working environment is Sun250 Server, Solaris 7 operating system. I encountered a problem ---- How to set the IP and MAC address in C program to make the system change it IP & MAC at runtime?
    Any idea is welcome! Thanks!

    Hi
    As a simplest possible solution, you can use the system command
    to run ifconfig that can set both the mac address and the IP address of the system. You will have to use setuid though.
    Or you can use the DLPI calls ( do a man DLPI or search for a
    Sun documentation on the same at http://soldc.sun.com) to write
    a pure C program.
    HTH
    Shridhar

  • How to set input delay and output delay when source Synchronous

    ClkIN is the board clock which is connected to the FPGA. Clkif is the generated clock from ClkIN. The Device's clk come from Clkif. So, how to set input delay and output delay in this scene(within my understand, this is Source Synchronous)?
    The example in many document, the input delay and output delay setting all refer to board clock(within my understand, this is System Synchronous). In that scene, the input delay max = TDelay_max + Tco_max; input delay min = Tdelay_min + Tco_min; the output delay max = Tdelay_max + Tsu; output delay min = Tdelay_min - Th.
    So, I want to know how to set input/output delay in the Source Synchronous.
    In system synchronous, I set input/output delay such as:
    create_clock -period 20.000 -name ClkIN -waveform {0.000 10.000} [get_ports ClkIN]
    create_generated_clock -name Clkif -source [get_pins cfg_if/clk_tmp_reg/C] -divide_by 2 [get_pins cfg_if/clk_tmp_reg/Q]
    create_clock -period 40.000 -name VIRTUAL_clkif //make virtual clock
    set_input_delay -clock [get_clocks VIRTUAL_clkif] -min 0.530 [get_ports DIN]
    set_input_delay -clock [get_clocks VIRTUAL_clkif] -max 7.700 [get_ports DIN]
    set_output_delay -clock [get_clocks VIRTUAL_clkif] -min -0.030 [get_ports DOUT]
    set_output_delay -clock [get_clocks VIRTUAL_clkif] -max 1.800 [get_ports DOUT]
    *******************************************************************************************

    So, first. Architecturally, the clock that you forward to your external device should not come directly from the clock tree, but should be output via an ODDR with its D1 input tied to logic 1 and the D2 tied to logic 0. This guarantees minimal skew between the output data and the forwarded clock.
    ODDR #(
    .DDR_CLK_EDGE("OPPOSITE_EDGE"), // "OPPOSITE_EDGE" or "SAME_EDGE"
    .INIT(1'b0), // Initial value of Q: 1'b0 or 1'b1
    .SRTYPE("SYNC") // Set/Reset type: "SYNC" or "ASYNC"
    ) ODDR_inst (
    .Q (Clkif_ff), // 1-bit DDR output
    .C (ClkIN_BUFG), // 1-bit clock input
    .CE (1'b1), // 1-bit clock enable input
    .D1 (1'b1), // 1-bit data input (positive edge)
    .D2 (1'b0), // 1-bit data input (negative edge)
    .R (rst), // 1-bit reset
    .S (1'b0) // 1-bit set
    OBUF OBUF_inst (.I (Clkif_ff), .O (Clkif_out));
    This generates an output clock that is the same frequency as your input clock. This is consistent with your drawing, but inconsistent with your constraints - is the forwarded clock a 50MHz clock or a 25MHz clock?
    I will assume your ClkIN goes to a BUFG and generates ClkIN_BUFG.  Your first constraint generates a 50MHz clock on the ClkIN port which will propagate through the BUFG to (among other places) this ODDR.
    create_clock -period 20.000 -name ClkIN -waveform {0.000 10.000} [get_ports ClkIN]
    Assuming your forwarded clock is supposed to be 50MHz, then your 2nd command is close to correct
    create_generated_clock -name Clkif -source [get_pins cfg_if/ODDR_inst/C] -combinational  [get_pins cfg_if/ODDR_inst/Q]
    With this done, you have successfully described the forwarded clock from your design. This is the clock that goes to your device, and hence should be the clock which is used to specify your input and output constraints.
    set_input_delay -clock [get_clocks Clkif] -min 0.530 [get_ports DIN]
    set_input_delay -clock [get_clocks Clkif] -max 7.700 [get_ports DIN]
    set_output_delay -clock [get_clocks Clkif] -min -0.030 [get_ports DOUT]
    set_output_delay -clock [get_clocks Clkif] -max 1.800 [get_ports DOUT]
    If you want to get fancier, you could try adding a set_clock_latency to the forwarded clock to account for the board propagation of the clock
    set_clock_latency -source TDtrace2 [get_clocks Clkif]
    (But I haven't experimented with clock latency on a generated clock and I don't know for a fact that it works).
    Avrum

  • How to set multiple ORACLE_HOME and ORACLE_SID, on Windows

    How to set multiple ORACLE_HOME and ORACLE_SID, on Windows.
    I have 5 oracle instances. Every time if i want to start up the services , I am manually setting the environment variable (ORACLE_HOME and ORACLE_SID) and starting the services one at a time.
    Can we set multiple ORACLE_HOME and ORACLE_SID in Start->My computer-> properties-> advance-> Environment variable.
    Thanks
    Naveen

    Hi ,
    Can you please give me the link, for the doc you are referring to
    Thanks
    Naveen

  • How to set default currency and Country in R12 financial modules

    Hi all,
    How to set default currency and Country in R12 financial modules (AR,AP,GL,FA,CE) becuase I found some default settings are shown "USD" & "United States" such as create AR Customer, the Country is shown the default "United States"....
    Can anybody advise ?
    Thanks & Regards,

    Hi,
    Change the below profile for the user to a territory different than the US :
    In System Administrator, navigate to Profiles -> System.
    Select Site, Application, and Responsibility.
    Profile options:
    Default Country
    HZ: Reference Territory
    ICX: Territory
    Regards,
    Raju.

  • How to set the WIDTH and ALIGN of h:column in h:dataTable ??

    How to set the WIDTH and ALIGN of <h:column> in <h:dataTable>??
    Thanks a lot!

    Hi,thanks to your reply.
    Now I find a more convenient appraoch to set column's width and align respectively:
    <h:dataTable ...>
    <h:column>
    <f:facet name='header'>
    </f:facet>
    <h:outputText style="width:80px; text-align: right;" value='#{row.fieldA}'/>
    </h:column>
    <h:column>
    <f:facet name='header'>
    </f:facet>
    <h:outputText style="width:120px; text-align: left;" value='#{row.fieldB}'/>
    </h:column>
    </h:dataTable>
    It works fine.
    ^-^

  • How to set the gain and input coupling for each channel on a NI 4462 DaQ card?

    I've seen a few examples in how to set the gain for NI 4462, but none tell me how to chose the channel I wish to set this gain.  Also the same problem with input coupling
    Does anyone know how to set the gain and input coupling for each individual channel?
    Thanks,
    Hector
    LabView 8.5 Windows XP

    Hey Hector,
    http://forums.ni.com/ni/board/message?board.id=100&thread.id=1688
    This has a few examples of how to do that.  Let me know if you have further questions.
    Have fun!
    -gaving

  • How to set the Mail and Fax options  for the Smartforms ???

    How to set the Mail and Fax options  for the Smartforms ??? Please reply me very soon. Its a life deciding question now for me. Please .

    >
    veera Karthik wrote:
    > How to set the Mail and Fax options  for the Smartforms ??? Please reply me very soon. Its a life deciding question now for me. Please .
    Hi
    Check this Link:
    http://help.sap.com/saphelp_nw04/helpdata/en/a5/28d3b9d26211d4b646006094192fe3/frameset.htm
    P.S: Never say reply me soon....people answer you here voluntarily and as everyone has their own desk to manage...sp replies may late or early..depending upon the availabality of the members.
    Vishwa.

  • How to set command action for each item in the popupmenuimage

    Hi all,
    In my canvas application,i used images for popupmenu.Now i have to set command action for each item in that popupmenuimage.I dont know whether it is possible in canvas or not.Can anyone tell me the solution for this .
    Thanks,
    sourab

    Buttons is typical of a c/s application, or, in case of Web Forms, a Java applet. Instead of buttons, you have to use hyperlinks.
    See:
    http://otn.oracle.com/products/reports/htdocs/faq/faq_migration.htm#346
    The hyperlink wil have to be to a URL that does the insert in the table. This can be a mod_plsql procedure, for instance.

  • How to set a bit and leave it

    The company I work for recently took over tech support for a SCADA PC that has Lookout 6.1. Our customer is a small town's Utilities department.
    A new pumphouse communicates back to the Public  Utilities SCADA PC using radio ethernet.  We've found that when setting command bits (Ack alarms, Reset alarms, disable/enable alarms, manual/auto mode  change) the radio transmission introduces a delay factor.  However, when  clicking a pushbutton object to set a bit, Lookout resets the bit  almost immediately and long before the remote pumphouse PLC receives the  command.
    I've looked at and tried the .snapdelay option, set  the pushbuttons to latch their outputs, and examined the Lookout.ini settings but have not  achieved the desired result.  I want to set a bit high with a pushbutton, and leave it high until (optonally) logic in the PLC resets it back to zero. The opposite action would also be desireable, set and stick 0 from a 1 state. In this way, the action would be like a true toggle.
    I want  Lookout to just get out of the way and not manipulate the output at  all: accept the set bit and leave it  alone completely. I checked the sample  applications, NI website knowledge base and discussion groups, but nothing comes  close to explaining how to set and leave a bit in Lookout. Flip-flop objects, one-shot objects and the .snapdelay feature are not suitable to this purpose. Why does Lookout not contain a simple toggle capability?
    Can anyone please advise me of a method that will provide what I need?
    pb-edm

    Thank you for the suggestion.
    The radio delay I'm working with is about 2 to 5 seconds, sometimes a little longer.
    I was at the customer's site earlier this week and tried several things.  Almost nothing worked.  I noticed a while ago that a SnapDelay of 0 does NOT disable it on any of the pushbutton objects I created in the app. 
    I did see in the Lookout Readme Notes file that the unwanted snapback behavior when using remote connections has been a "feature" since version 6.0.  The readme contains several possible workarounds, none of which work (as mentioned in my original post). 
    A set of configurations I found in another application worked for half the objects I newly created, and did not work for the other half -- about 15 objects in total, all pushbuttons.  In the pushbutton object properties, I set connections for .enable, .resetvalue and .value to the remote PLC address. When the pushbutton object is clicked, the desired value is forced continuously until a change of state is registered in the PLC.  But like I said, it only works about half the time.
    Obviously this IS a bug.  I'm hoping Lookout pulls up its socks and issues a patch.  We don't have ours/our customer's time to waste on something that is accomplished without a second thought in other products.
    -pb-edm

  • How to set the HomePage and FolderSize of a managed folder?

    Recently, I deside to get the FolderSize and HomePage of a managed folder throw GetFolder operation in EWS, but the FolderSize and the HomePage always not present.
    Unfortunately, I could not set these two element directly in Exchange management shell like "StorageQuota".
    I tried to create some items in the managed folder, but I also could not get the FolderSize.
    How can I do next? Is there anybody know the ways to set the HomePage and FolderSize of a managed folder?

    Hi,
    We can use the Set-OrganizationConfig -ManagedFolderHomepage command to specify the homepage. To limit the managed folder size, we can use the StorageQuota parameter with the New-ManagedFolder command.
    Here is a related article about the Set-OrganizationConfig command for your reference.
    Set-OrganizationConfig
    http://technet.microsoft.com/en-us/library/aa997443(v=exchg.141).aspx
    Best regards,
    Belinda
    Belinda Ma
    TechNet Community Support

  • How to release the prompt and how to stop JVM afterwords

    There are 2 related questions here:
    (1st) I have a Java class that I'd like to start and release the command prompt. In Linux, I usually start it this way:
    > java MyClass &
    But in Windows I can't do that, it always holds the command prompt open. If I try this way:
    > javaw MyClass
    it works, but if I call it from inside a batch file, it opens a command prompt (then I can close the command prompt and it remains in memory). But I'd like it not to open any command prompt. Does anyone know a way?
    (2nd) I'd like to start the class above like we do for some services... I thought of doing somethind like this:
    > java MyClass -start
    This, after solving the (1st) question, looks easy. The problem is: how to implement this class in order to be able to call
    > java MyClass -stop
    to stop it, finishing its JVM? How will this class be able to detect an instance of itself in memory and stop it??? Is there something simpler than using sockets for that purpose?
    Thanks for your help, friends!

    Well, the first is easy - instead of starting with java.exe, use javaw.exe. No more icky command prompt. ;-)
    The second one is trickier. If you really want to go that route, I'd suggest looking at the Tomcat bootstrap code - it listens on a specific port for program handling commands.

  • How to set buildID.xml and custom.properties in SDK

    Hello,
    I just completed a new build deployment of SAP ME5.2, because after I deployed the new version, I don't think I have set a
    correct version number.Can you someone give me a sample how to set the buildID.xml and custom.properties? I am a new on the SAP ME5.2
    The Base version is ME_Base_5.2.5.16.5_netweaver-71_Update.zip and
    MEClient_Base_5.2.5.16.5_netweaver-71_Update.zip. the HB customzation
    version is ME_xxxxxx_2.0.0.0.x_netweaver-71.
    Within the sap note 1484551, you mentioned we need change the
    SDKInstallDir/build/buildID.xml file, here is the context of the file:
    buildID.xml -
    <?xml version="1.0" encoding="UTF-8"?>
    <buildID xmlns:xs="http://www.w3.org/2001/XMLSchema">
    <customer>XXXXXX</customer>
    <revision>1.0.0.0</revision>
    <build>1</build>
    </buildID>
    buildID.xml -
    1. how can we change the revision and build?
    There is another file BuildToolDir/build/script/custom.properties, here
    is the file context:
    custom.properties----
    This file contains build properties used to configure the build
    system.
    The name of the software vendor implementing the customizations.
    vendor.name=xxxxxxxxx
    Vendor build identifier. This value is used to uniquely identify
    customizations built by a particular vendor for a particular customer
    and base
    application version.
    This is also used in path locations and in naming certain build
    artifacts, like the custom EJB module and the utility classes archive.
    vendor.id=xxxxxxxxx
    The installation of the J2EE engine installed in the development
    environment.
    ex. C:/usr/sap/CE1\J00
    j2ee.instance.dir=J2EEInstanceDir
    The web context path used to access the main web application. This
    is used by the build to set the
    context-root value in application.xml after an update has been
    imported.
    web.context.path=
    The web context path used to access the production XML interface web
    application. This is used by the build to set the
    context-root value in application.xml after an update has been
    imported.
    xml.context.path=
    The web context path to access resources from the web extension
    application, like images and work instruction HTML files.
    web-ext.context.path=web-ext
    The target database vendor. Valid values are 'oracle' or 'sqlserver'.db.vendor=ORACLE
    The JDBC driver configured for the application server.
    db.drivername=VMJDBC
    JDBC connection propertes for the WIP (Work In Process) database.
    This is the primary application database.
    db.wip.driverclassname=
    db.wip.driver.url=
    db.wip.host=
    db.wip.port=
    db.wip.sid=
    db.wip.user=
    db.wip.password=
    JDBC connection propertes for the ODS (Open Data Store) database.
    This is the offline reporting and archiving database.
    db.ods.driverclassname=
    db.ods.driver.url=
    db.ods.host=
    db.ods.port=
    db.ods.sid=
    db.ods.user=
    db.ods.password=
    Flag indicating whether to add DPMO NC codes to NC idat files when a
    new update is imported. This value is initially
    set by the installer according the the user selection.
    dpmo.nc.codes=
    The default locale used by the production system. The default locale
    is the locale used to display locale
    specific text and messages when the requested locale is not
    available. This property does not need to
    be set if the default locale is english.
    default.locale=en
    Used when running the build from Eclipse to locate the java compiler
    used by the WebLogic EJB compiler.
    jdk.home=C:/Program Files/Java/jdk1.5.0_20
    Compiler debug mode. If set to 'true', debug symbols will be
    compiled into the byte code.
    compile.debug=true
    Keystore alias
    security.alias=xxxxx
    Keystore password
    security.storepass=ChangeIt
    Key password
    security.keypass=ChangeIt
    Keystore type (jks=default,jceks,pkcs12)
    security.storetype=jks
    Optional source control build identifier that is to be displayed with
    standard version information.
    scs.build.ID=
    Optional extended version information to be displayed with standard
    version information.
    ext.info=
    custom.properties----
    2. How can we change this here?
    Regards,
    Leon Lu
    Edited by: Leon Lu on Aug 4, 2011 11:14 AM
    Edited by: Leon Lu on Aug 4, 2011 11:21 AM

    Hi,
    I created one request with logo in the header an page in the footer etc. and called StyleSheet. After you can import this formats by each request.
    You can do this in compound layout.
    Regards,
    Stefan

  • How  to Set JMS property and Read JMS property in BPEL using JMS adapter

    Does any one know how to set or read more than one JMS property in BPEL using JMS adapter. My queue server is Oracle JMS server.
    Any help and sample is well appreciated

    Hi Van
    I have noted that only the attribute "type" is missing. It seems that when you create a "string" property, the attribute "type" is droped from the XML. If you use another type (as "integer" or "double") it stays there.
    If you try to read your properties, except for the "type" attribute, you should be successful, as I am. If you need, please tell me your email, I can send you my code.
    Source Code:
    in ASSIGN activity (process which SENDS the JMS message):
    <assign name="TESTES">
    <copy>
         <from expression="'string'"/>
         <to variable="HeaderJms" part="outboundHeader"
         query="/ns5:JMSOutboundHeadersAndProperties/ns5:JMSOutboundProperties/ns5:Property[1]/@type"/>
    </copy>
    <copy>
         <from expression="'name'"/>
         <to variable="HeaderJms" part="outboundHeader"
         query="/ns5:JMSOutboundHeadersAndProperties/ns5:JMSOutboundProperties/ns5:Property[1]/@name"/>
    </copy>
    <copy>
         <from expression="'value1'"/>
         <to variable="HeaderJms" part="outboundHeader"
         query="/ns5:JMSOutboundHeadersAndProperties/ns5:JMSOutboundProperties/ns5:Property[1]/@value"/>
    </copy>
    <bpelx:append>
         <bpelx:from>
         <Property name="" type="" value=""
              xmlns="http://xmlns.oracle.com/pcbpel/adapter/jms/"/>
         </bpelx:from>
         <bpelx:to variable="HeaderJms" part="outboundHeader"
              query="/ns5:JMSOutboundHeadersAndProperties/ns5:JMSOutboundProperties"/>
    </bpelx:append>
    <copy>
         <from expression="'string'"/>
         <to variable="HeaderJms" part="outboundHeader"
         query="/ns5:JMSOutboundHeadersAndProperties/ns5:JMSOutboundProperties/ns5:Property[2]/@type"/>
    </copy>
    <copy>
         <from expression="'name2'"/>
         <to variable="HeaderJms" part="outboundHeader"
         query="/ns5:JMSOutboundHeadersAndProperties/ns5:JMSOutboundProperties/ns5:Property[2]/@name"/>
    </copy>
    <copy>
         <from expression="'value2'"/>
         <to variable="HeaderJms" part="outboundHeader"
         query="/ns5:JMSOutboundHeadersAndProperties/ns5:JMSOutboundProperties/ns5:Property[2]/@value"/>
    </copy>
    </assign>
    in ASSIGN activity (process which READS the JMS message)
    <assign name="Assign_1">
    <copy>
    <from variable="Variable_1" part="inboundHeader"
    query="/ns3:JMSInboundHeadersAndProperties/ns3:JMSInboundProperties/ns3:Property[10]/@name"/>
    <to variable="temp"/>
    </copy>
    <copy>
    <from variable="Variable_1" part="inboundHeader"
    query="/ns3:JMSInboundHeadersAndProperties/ns3:JMSInboundProperties/ns3:Property[10]/@value"/>
    <to variable="temp"/>
    </copy>
    <copy>
    <from variable="Variable_1" part="inboundHeader"
    query="/ns3:JMSInboundHeadersAndProperties/ns3:JMSInboundProperties/ns3:Property[12]/@name"/>
    <to variable="temp"/>
    </copy>
    <copy>
    <from variable="Variable_1" part="inboundHeader"
    query="/ns3:JMSInboundHeadersAndProperties/ns3:JMSInboundProperties/ns3:Property[12]/@value"/>
    <to variable="temp"/>
    </copy>
    </assign>
    Note that I do not try to read the "type" attribute, otherwise it will fail.
    Here is the JMS Header Message received:
    <inboundHeader>
    <JMSInboundHeadersAndProperties xmlns="http://xmlns.oracle.com/pcbpel/adapter/jms/">
    <JMSInboundHeaders>
    <JMSCorrelationID>
    bpel://localhost/pi_lms-c001e/BpelPiAtualizacaoC001E~1.0/3200025-BpInv0-BpSeq1.6-2
    </JMSCorrelationID>
    <JMSDeliveryMode>2</JMSDeliveryMode>
    <JMSExpiration>0</JMSExpiration>
    <JMSMessageID>ID:467DFA1FA1ED459EBF37F51F596C3F12</JMSMessageID>
    <JMSPriority>4</JMSPriority>
    <JMSRedelivered>false</JMSRedelivered>
    <JMSType>com.mercurio.lms.integration.c001eip.c001EIntegrationPoint</JMSType>
    <JMSTimestamp>1201552046253</JMSTimestamp>
    </JMSInboundHeaders>
    - <JMSInboundProperties>
    <Property name="JMSXDeliveryCount" type="integer" value="1"/>
    <Property name="JMSXRecvTimestamp" type="long" value="1201552046698"/>
    <Property name="JMSXUserID" value="lms_dev_int_v1_user"/>
    <Property name="JMS_OracleDeliveryMode" value="2"/>
    <Property name="JMS_OracleTimestamp" type="long" value="1201552046253"/>
    <Property name="JMS_OracleDelay" type="long" value="0"/>
    <Property name="JMSXState" type="integer" value="0"/>
    <Property name="IAS_VERSION" value="10.1.3"/>
    <Property name="JMS_OracleDeliveryMode" value="2"/>
    <Property name="name" value="value1"/> ("type" attribute was droped!!!)
    <Property name="JMS_OracleTimestamp" type="long" value="1201552046253"/>
    <Property name="name2" value="value2"/> ("type" attribute was droped!!!)
    </JMSInboundProperties>
    </JMSInboundHeadersAndProperties>
    </inboundHeader>
    Regards
    Marcelo

  • How to set the prompt of a Spark Combobox programmatically

    Hi,
    here a Combobox wich use my cutom Skin to manaully set the prompt:
    <s:ComboBox id='artistNameCB' labelField="artistName"                         
              skinClass="view.music.skins.ArtistComboBoxSkin"
                                    />
    here is the reklevat part of the Skin:
    <!--- @copy spark.components.ComboBox#textInput -->
        <s:TextInput id="textInput"
               text='select'
                     left="0" right="18" top="0" bottom="0"
                     skinClass="spark.skins.spark.ComboBoxTextInputSkin"/>
    How do I set the displayed text of a combobox  programmatically ?

    ArtistComboBoxSkin(artistNameCB.skin).textInput.text = "prompt"

Maybe you are looking for

  • Firefox 5 can't open pages in Honda Build Your Own Site

    We upgraded to FF5 last night. All seemed well till ... I was interested in configuring and pricing a Honda CR-V this morning on the Honda web site. I have used it in the past with no problems. I went to: http://automobiles.honda.com/tools/build-pric

  • Error while deploying on Weblogic 12c

    Hi all, I got an error while trying to deploy my WAR on Weblogic 12c. However, deployment on 11g for the same WAR is successful. Here is the error I got: [ERROR] Failed to execute goal com.oracle.weblogic:wls-maven-plugin:12.1.1.0:deploy (default-cli

  • How to set default options in PD 16.5 SP03 PL01

    Everyone, I want to set some default physical options while reverse engineering the table(s). I want following options to be added everytime I reverse engieer the table. CREATE MULTISET TABLE, NO FALLBACK , NO BEFORE JOURNAL, NO AFTER JOURNAL, CHECKS

  • ITUNES START-UP

    My iTunes was working fine, but now when I try to open it I get the error message "iTunes cannot run because it has detected a problem with your audio configuration." Any help is greatly appreciated. James

  • Can't open PM 6.5 mac file

    I have a perfectly good file that will open on my old mac in PM 6.5. I have Windows 7.0 version on my laptop and when I transfer the file via flash drive it won't open in Windows PM 7. I tried renaming the file with a .p65 extension, but it still did