NON PROJECT REVENUE

HI GURUS,
can you please tell me where to find out the standard reports and Datasources used and Tables related to<b> NON PROJECT REVENUE.</b>
points will be given.
Thanks
regards

Hello
what is the source of non revenue. Is it booked to a GL account ?
Non billable recievables is a special GL account.
Check OUVR for setting.
Even deferred revenue is a special GL account.
Once you know where the amounts are booked. Execute a normal standard report from GL info systems.
Reg

Similar Messages

  • SCHEDULES: NON PROJECT REVENUE REPORT

    HI GURUS,
    can you please tell me where to find out the standard reports and Datasources used and Tables related to  <b>NON PROJECT REVENUE</b>.
    points will be given.
    Thanks
    regards

    Hello
    what is the source of non revenue. Is it booked to a GL account ?
    Non billable recievables is a special GL account.
    Check OUVR for setting.
    Even deferred revenue is a special GL account.
    Once you know where the amounts are booked. Execute a normal standard report from GL info systems.
    Reg

  • SCHEDULES E18: NON PROJECT REVENUE REPORT

    HI GURUS,
    CAN YOU PLEASE TELL ME WHERE CAN WE FIND OUT THE STANDARD REPORT, RELATED DATASOURCES AND RELATED TABLES for <b>NON PROJECT</b> REVENUE PLEASE.
    THANKS & REGARDS
    VENU

    Hello
    what is the source of non revenue. Is it booked to a GL account ?
    Non billable recievables is a special GL account.
    Check OUVR for setting.
    Even deferred revenue is a special GL account.
    Once you know where the amounts are booked. Execute a normal standard report from GL info systems.
    Reg

  • Milestone billing for non project case

    Hi,
    I have a building/room that is going to be rented. I need to adopt Down Payment (%) with open date, which means the customer is have flexibility to choose when he/she wants to finish the payment. e.g: for a wedding, today the customer pay DP, let say 30% of total, and he/she will use the building next year and pay the remaining.
    I  try to explore the proper / suitable solution for this case in SD. I try to use milestone billing plan. I copy as SO doc type OR, copy as item category TOA, copy as billing doc type FAZ and FAS , and use milestone billing plan in item level while creating the SO doc.
    My question is, before I continue to use it, I want to know if It is okay to use milestone biiling plan for non project case? and what is the possible effects?
    thank you,
    Regards,
    Harris

    Hi all SAP ers...
    I want to continue my first question.
    1. Right now I am considering, is it the right concept to use millestone billing plan in my case?
    2. If I use Milestone Billing Plan for Down Payment Process, which point of view do we use? Is it I am the project owner and then I bill the vendor OR I am the Vendor who sends the invoice to the customer/project owner?
    3. Is it "okay", if I use milestone billing plan ? (If the point of view is I am the Vendor who sends the invoice to the customer)
    Regards,
    Harris

  • Settlement of project revenue

    Dear PS Experts,
    If the user choose to settle the project revenue to a GL account, the GL should be a balance sheet acount and not a primary gl account or cost element (since the cost elem. will require a cost object during posting and this will cause an error when execute CJ88).
    The questions is whether settlement of revenue to a B/S account is recommended in SAP best practise?
    Please help and thanks for the advise
    Yuzeila

    Hi,
        If it is posting to the first line means....
    Follow the steps below:
    First try to create a project and post the actuals and revenues.
    Go to cost element report pick up those cost elements both for costs and revenues.
    Go to Soruce Structure in the config, define the structure with name for Ex: 'Z1' and define two assignments, one is Costs and other Revenue, by selecting the costs go to Source mention the cost element where the actual costs are posted on (Mention only that cost element no other cost elements), next select the Revenue assignment and click on Source and mention the only cost element where the revenue wsa posted (Mention only that revenue cost element no other cost elements) and save the transaction.
    Come back to project builder, select the wbs and click on settlement rule, in the menu goto-->settlement parameters and check whether the source and settlement profiles are correct or not, incase source structure is not available mention the same.
    come back to settlement Rule overview and fill the fields as mentioned below:
    1st line:   Category: GL
                  GL Account: GL Account Number
                  Source Structure: COSTS
    2nd Line: Category: GL
                  GL Account: GL Account Number (Revenue Account)
                  Source Structure: REVENUE.
    Now Run the Settlement for the specific period costs posted, the costs will be posted seperately to the two different GL Accounts.
    I think this will resolve your problem.
    Rgds
    Sudhir Reddy

  • Cost / work project revenue distribution

    Hi
    I am looking at cost / work project revenue distribution results and I see that GDR created 2 lines in the revenue: one for expenditure and one for the event. I know that event was created from billing extension. The expenditure line has 0 distribution. The accrued_revenue and accrual_rate columns are set to 0. I cannot find where and how this 0 lines gets created. Is this seeded logic for cost / work type projects? Your help on this greatly appreciated.
    thank you in advance
    Ed
    Edited by: user_Ed_123 on Mar 11, 2012 2:48 PM

    Hi
    any help is appreciated.
    thanks!

  • Project Revenue Plan Not Update from Sales Order Item

    I am generating a network and project from the sales order item using Assembly processing. I am using milestone billing on the sales order item and the milestones attached to the project network activities are appearing as billing blocks on the sales order item billing plan. However, the project revenue is not getting updated from the sales order billing plan. Can someone please help?
    I have deleted the billing plan on the billing WBS element and activated revenue planning update from sales order in cusomization for PS. I am looking at CNS41 under the project revenue sched in version 0. Is there a different report I should be looking at?
    Regards,
    Venkat.

    HI Venkat,
    You are looking at the correct report & I believe you have also done the necessary customization. If you create a sales order and reference it to a quotation, the related plan values in the WBS element are refreshed automatically.
    When the payment data is recorded, the terms of payment and the customer payment history are taken into consideration. One of the probable causes could be the credit limit of the customer might have been exceeded. Take help of your SD consultant & increase the credit limit for that customer (from the sales document), t-code FD32 if it is permitted in the business.
    After the new credit limit is set execute the following steps:
    u2022     Go to the t-code Change billing request (VA02)
    u2022     Open the required billing request
    u2022     Remove the account assignment of WBS element from each line item
    u2022     Save the billing request
    u2022     Reopen the billing request in change mode
    u2022     Reassign the WBS elements to all line items
    u2022     Now the changed credit limit will take affect
    u2022     Check the Planned Revenue for the said project in CNS41, it should get updated.
    Rgds
    Deepak

  • Running multiple jobs (or parallelism) in non-project mode

    So, I have just converted my GUI-based project mode project to Tcl based non-project mode.
    I have 8 OOC IP modules that I sythesise before the main design using synth_ip. This occurs sequentially, rather than in parallel as it could when I have a run for each module in the GUI. there I would just set the number of jobs to 8 upon launching the run. This was far quicker.
    Without creating runs for each IP, can I implement the same kind of parallellism with my non-project tcl script?

    No. There are sort of two issues.
    The first is that in non-project batch mode there is not (supposed to be) a mechanism for managing files and filesets. Each synthesis run that you want to run has its own set, and there would normally be no way (in non-project mode) of managing this within the Tcl environment. However, in the case of IP, this sort of isn't true - IP are almost by definition little projects, so this problem doesn't really apply here.
    The second is that in non-project mode, there is a single flow of execution - there is no concept of "background jobs" which is what is used in project mode; there is a single thread of Tcl execution that runs linearly. The processes invoked by this thread may use multiple processors (the place_design and route_design processes do), but only one process is running at a time. Furthermore, in non-project batch, there is no eqivalent to fork/join (which is esstially what launch_runs/wait_on_run is).
    So, you have two choices. One is to compile the IP outside of your main Vivado run before you launch your main run - use your OS to launch 8 separate Vivado processes, each of which has its own script to compile one of your IP.
    The other is to compile the IP once, and keep the generated products around from run to run; your IP does not need to be synthesized each time - each synthesis run should end up with exactly the same netlist. You can even revision control the IP directory (with all its targets). This way, during "normal" runs, you skip the IP synthesis entirely and go straight to synthesizing your design.
    Avrum

  • Using MIG in OOC non-project mode

    We're trying to use the MIG with Vivado v2015.2 in an OOC non-project workflow for a Kintex Ultrascale device.
    However trying to build the MIG itself out-of-context fails at opt_design stage with an error that MIG configuration is incomplete.
    Putting the MIG in the top-level design means that as soon as a routed design checkpoint is loaded, building fails with 
    ERROR: [Mig 66-106] The MIG I/O ports have been moved since the last time the design was implemented. Due to a current limitation, Vivado cannot execute opt_design in this situation. In order to proceed with the current task, you must first save and close the current design in memory. The flow must be restarted with a synthesized design by either loading the synthesized design checkpoint or re-running synthesis.
    I've tried all sorts of stuff, read_checkpoint+synth_design, or creating a synthesized design with black boxes and then add_files & link_design but in the end the only way to avoid this issue seems to give up on OOC implementation altogether.
    Are there any known workarounds for these issues?

    BTW, it works fine in Tomcat 6,7........

  • Project Revenue Recognitio based on Percentage Completion

    Dear Experts,
    For on going project, we want to recognize the revenue based on the percentage of completion. Final bill will be at the end of the project, how to recognize the revenue in Finance on unbilled items/completion.
    Please let me know what are the config setting to be done and steps to be executed (SD/PS/CO Module) for this process.
    Regards,
    vgl

    You'll have to implement Result Analysis in CO.  This does exactly what you require.  You can take a look at the following links which will guide you through.  Also, there are numerous other resources available in the internet.
    http://wiki.sdn.sap.com/wiki/display/ERPFI/UnderstandingResultsAnalysisforWIP
    http://wiki.sdn.sap.com/wiki/display/BI/MaintainingResultsAnalysis+Keys
    Ravi.

  • Project Revenue settlement

    Dear All
    Please tell me where can we settle a Revenue Bearing Project to ? I don't want to settle it to a PSG segment . Is it possible settle to the GL  or sales order  item (SDI) . Revenues or customer down payments can only be settled to G/L accounts, profitability segments, sales documents (SDI). so please anyone explain how to settle against GL or sales document (SDI) what is prerequisite for this. Please explain step by step if possible.
    Regards
    Raj

    Dear Virendra Pal
    When i am trying to settle the amout to SDI i am getting following error 
    The sales document is assigned to WBS element TESTL-09-1-900009
    Message no. V1139
    Diagnosis
    The sales order item contains a project account assignment.
    Procedure
    Enter the  number of a work breakdown structure element which is included in the same project definition as the work breakdown structure element from the sales order item or assign a sales order item without project assignment.
    I had assigned the same above WBS Element in sales order Please guide me .
    Regards
    Raj

  • Project Revenue as in Project Currency

    Hi ,
    Can we generate the revenue in the project Currency irrespective of Project Functional Currency ?
    In Project Billing Manual -- It has been mentioned that If you select Project Functional Currency and Invoice Transaction currency as Revenue Transaction currency On your Multi Currency Project , The GDR Process calculates Revenue in the Invoice Transaction Currency ..
    I tried to Check the Box in the Currency Tab Invoice By Billing Transaction currency and tried to select Revenue Transaction Currency as Project Functional and Functional and Invoice Transaction Currency but as soon as i Checked the Box , it get freezed and not letting me to select the Revenue Transaction Currency as Project  Functional and Invoice Transaction Currency .
    Can any one help me on this issue ...if it possible to generate the revenue in Project Currency and how to set up the same .
    Thanks /Regards ,
    Manoj

    Hi Manoj,
    On the Project > Options > Currency > Billing Tab
    Please Enable Multi Currency Billing, then select Revenue Transaction Currency and select according to your need.
    Invoice By Billing Transaction currency :
    When the Invoice by Billing Transaction Currency is enabled for the project, you can generate separate invoices for a project by each billing transaction currency, even
    though one or more invoices may be funded by the same agreement. Therefore, expenditures that are billed using markups or burden schedules to derive the bill
    amounts are grouped according to their expenditure transaction currencies.
    Revenue Transaction Currency options for your project :
    Oracle Project Billing selects the Project Functional Currency Only option for revenue transaction currency. This ensures that the Generate Draft Revenue concurrent program generates revenue in project functional currency. To enable the Generate Draft Revenue concurrent program to additionally derive revenue in invoice transaction currency.
    Project Functional Currency and Invoice Transaction Currency option :
    When you select Project Functional Currency and Invoice Transaction Currency as your revenue transaction currency, the Generate Revenue Accounting Events process calculates Unbilled receivables and Unearned revenue amounts in invoice transaction currency.
    Regards
    Raghavender K

  • Get BulkRevenue as well as non-bulk revenue

    I'm trying to get the SUM of revenue where BulkOrder = 0 and 1, can anyone help?
    http://pastebin.com/q07KHJh5
    Thanks,
    Michael

    Thanks for your help, Visakh16, but i'm getting a weird result - this is my current query based on your answer:
    SELECT     
    COUNT(dbo.[Order].OrderID) AS Orders,
    CASE WHEN BulkOrder = 0 THEN (SUM(dbo.OrderLine.SubTotal) + SUM(dbo.OrderLine.Shipping) - SUM(dbo.OrderLine.Discount)) ELSE 0 END AS Revenue,
    CASE WHEN BulkOrder = 1 THEN (SUM(dbo.OrderLine.SubTotal) + SUM(dbo.OrderLine.Shipping) - SUM(dbo.OrderLine.Discount)) ELSE 0 END AS BulkRevenue,
    DATEADD(dd, 0, DATEDIFF(dd, 0, dbo.[Order].PaymentDate)) AS RevenueDate, (SUM(dbo.OrderLine.SubTotal) + SUM(dbo.OrderLine.Shipping)) / COUNT(dbo.[Order].OrderID) AS RevenuePerOrder,
    SUM(dbo.OrderLine.Qty) AS Qty
    FROM         dbo.[Order]     
    INNER JOIN
    dbo.OrderLine ON dbo.[Order].OrderID = dbo.OrderLine.OrderID
    WHERE             
    (dbo.[Order].OrderStatusID IN (2))
    AND (dbo.[Order].PaymentDate >= CONVERT(DATETIME, '02/03/2014', 103))         
    AND (dbo.[Order].PaymentDate <= CONVERT(DATETIME, '08/03/2014 23:59:59', 103))         
    AND (dbo.[Order].WebsiteID = 1)
    GROUP BY DATEADD(dd, 0, DATEDIFF(dd, 0, dbo.[Order].PaymentDate)), dbo.[Order].WebsiteID, dbo.[Order].BulkOrder
    and I get this data:
    Orders Revenue BulkRevenue RevenueDate RevenuePerOrder Qty
    35    0    0    2014-03-02 00:00:00.000    19.9934285714286    36
    29    0    0    2014-03-03 00:00:00.000    25.0720689655172    32
    43    0    0    2014-03-04 00:00:00.000    20.8841860465116    44
    46    0    0    2014-03-05 00:00:00.000    20.5723913043478    46
    45    0    0    2014-03-06 00:00:00.000    20.4562222222222    45
    37    0    0    2014-03-07 00:00:00.000    22.6051351351351    38
    27    0    0    2014-03-08 00:00:00.000    21.9933333333333    27
    Thanks,
    Michael

  • Error : PRC: Generate Draft Revenue for a Single Project

    Dear all,
    I'm using Project using Cost / Cost as revenue accrual/Billing method
    and I try to Generate Draft Revenue but I have a problem
    Please suggest what could be wrong.
    Log file :
    Projects: Version : 11.5.0 - Development
    Copyright (c) 1979, 1999, Oracle Corporation. All rights reserved.
    PARGDR_SINGLE module: PRC: Generate Draft Revenue for a Single Project
    Current system time is 29-JUL-2009 11:15:45
    Entering parmai()
    ...Connected to Oracle
    Accrue Thru date from Command line is : 2009/08/02 00:00:00
    project:35 delete:N regenerate:Y acc_thru_dt:02-Aug-09
    start project: end project:
    Revenue is running in Normal revenue mode
    Revenue is not based on specific project type...
    Revenue is not based on specific organization...
    Revenue is not based on specific customer...
    Revenue is not based on specific Agreement...
    Revenue is running for MCB/Non MCB projects...
    Revenue is running for release revenue mode...
    Revenue is not creating the detail report ...
    Revenue Processing Parameter List
    =================================
    -------------- < parameter list > -----------------------
    Accru Through Date ----------------------------------------> 02-Aug-09
    Project ID ------------------------------------------------> 35
    From Project Number --------------------------------------->
    To Project Number ----------------------------------------->
    Adjusting Revenue Run -------------------------------------> No
    Project Type ID -----------------------------------------> 0
    Organization ID ------------------------------------------> 0
    Customer ID ----------------------------------------------> 0
    Agreement ID ----------------------------------------------> 0
    Multi Currency Projects -----------------------------------> No
    Release Draft Revenue -------------------------------------> Yes
    Include Detail Report -------------------------------------> No
    -------------- < End of parameter list > -----------------------
    ...Request ID = 804880
    This concurrent request is not being rescheduled
    Current system time is 29-JUL-2009 11:15:45
    ...about to delete revenue for project id 35
    ...0 draft revenues deleted
    Current system time is 29-JUL-2009 11:15:45
    ...about to process adjustments
    SELECT p.project_id, p.segment1,
    p.distribution_rule, p.project_level_funding_flag,p.project_currency_code,
    p.project_bil_rate_date_code,
    p.project_bil_rate_type,
    p.project_bil_rate_date,
    p.project_bil_exchange_rate,
    p.projfunc_currency_code,
    p.projfunc_bil_rate_date_code,
    p.projfunc_bil_rate_type,
    p.projfunc_bil_rate_date,
    p.projfunc_bil_exchange_rate,
    p.revproc_currency_code,
    p.funding_rate_date_code,
    p.funding_rate_type,
    p.funding_rate_date,
    p.funding_exchange_rate,
    p.multi_currency_billing_flag,
    p.assign_precedes_task
    FROM pa_projects p, pa_project_types t
    WHERE p.project_id = :project_id
    AND pa_project_utils.check_prj_stus_action_allowed(p.project_status_code,'GENERATE_REV') = 'Y'
    AND :start_project_number||'x' != :end_project_number||'y'
    AND p.project_type = t.project_type
    AND t.project_type_class_code = 'CONTRACT'
    AND EXISTS (SELECT /*+ INDEX(pf pa_summary_project_fundings_u1)*/ NULL
    FROM pa_summary_project_fundings pf
    WHERE pf.project_id = p.project_id
    AND nvl(pf.revproc_baselined_amount, 0) != 0)
    AND NOT EXISTS
    (SELECT NULL
    FROM pa_draft_revenues r2
    WHERE r2.project_id = p.project_id
    AND r2.released_date||'' is null
    AND r2.generation_error_flag||'' = decode(:mass_gen,
    1, 'Y', r2.generation_error_flag)
    AND (EXISTS (SELECT NULL
    FROM pa_expenditure_items_all i
    WHERE i.project_id = p.project_id
    AND i.cost_distributed_flag ||''= 'Y'
    AND i.revenue_distributed_flag = 'N'
    AND i.expenditure_item_date
    <= NVL(TO_DATE(:acc_thru_dt),sysdate)
    AND EXISTS (SELECT /*+ LEADING(l)*/ NULL
    FROM     pa_draft_revenues r, pa_cust_rev_dist_lines l
    WHERE r.project_id = i.project_id
    AND      ((l.expenditure_item_id = i.adjusted_expenditure_item_id AND i.adjusted_expenditure_item_id is not null )
    OR (l.expenditure_item_id = i.expenditure_item_id))
    AND      NVL(l.reversed_flag,'N') = 'N'
    AND      l.line_num_reversed IS NULL
    AND      r.project_id = l.project_id
    AND      r.draft_revenue_num = l.draft_revenue_num
    AND (r.released_date||'' is not null
    OR r.generation_error_flag||'' = decode(:mass_gen,
    1, 'N', 'E')))
    union all
    SELECT /*+ LEADING(v)*/NULL
    FROM pa_events v
    WHERE v.project_id = p.project_id
    AND ((v.revenue_distributed_flag = 'N'
    AND v.completion_date
    <= NVL(TO_DATE(:acc_thru_dt),sysdate))
    OR (substr(p.distribution_rule,1,4) = 'COST'
    AND v.revenue_distributed_flag = 'Y'
    AND v.completion_date
    > TO_DATE(NVL('02-Aug-09', sysdate))))
    AND (DECODE(NVL(v.bill_trans_rev_amount, 0), 0 ,
    DECODE(NVL(v.zero_revenue_amount_flag, 'N'), 'Y', 1, 0),1) = 1)
    AND NVL(revenue_hold_flag, 'N') = 'N'
    AND EXISTS (
    SELECT NULL
    FROM pa_tasks t
    WHERE v.task_id is not NULL
    AND t.ready_to_distribute_flag = 'Y'
    AND v.task_id = t.task_id
    UNION ALL
    SELECT NULL
    FROM pa_tasks t1
    WHERE v.task_id is NULL
    AND t1.ready_to_distribute_flag = 'Y'
    AND v.project_id = t1.project_id )
    AND Exists (select null from pa_draft_revenues r,pa_cust_event_rev_dist_lines l
    where l.project_id = v.project_id
    AND ( l.task_id = v.task_id OR v.task_id is NULL )
    AND l.event_num = v.event_num
    AND NVL(l.reversed_flag,'N') = 'N'
    AND l.line_num_reversed IS NULL
    AND r.project_id = v.project_id
    AND r.draft_revenue_num = l.draft_revenue_num
    AND (r.released_date||'' is not null
    OR r.generation_error_flag||'' = decode(:mass_gen,
    1, 'N', 'E')))
    union all
    SELECT /*+ USE_CONCAT */ NULL
    FROM PA_Billing_Extensions be, PA_Billing_Assignments bea
    WHERE bea.active_flag = 'Y'
    AND bea.billing_extension_id = be.billing_extension_id
    AND (bea.project_id = p.project_id
    OR bea.project_type = p.project_type
    OR bea.distribution_rule = p.distribution_rule)
    AND be.calling_process in ('Revenue','Both')
    AND nvl(be.call_after_adj_flag, 'N') = 'Y'
    AND be.trx_independent_flag = 'Y'))
    Current system time is 29-JUL-2009 11:15:45
    ...0 projects processed for adjustments
    Current system time is 29-JUL-2009 11:15:45
    ...about to generate revenue for project id 35
    ...Fetching next project
    Current system time is 29-JUL-2009 11:15:45
    ...about to call pa_billing.bill_ext_driver( 35, 'Revenue', 'PRE', '02-Aug-09', 804880 )
    Current system time is 29-JUL-2009 11:15:45
    ...exiting pa_billing.bill_ext_driver( 35, 'Revenue', 'PRE', '02-Aug-09', 804880 )
    Current system time is 29-JUL-2009 11:15:45
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    ...Else loop 1
    ...else loop 2
    ...else loop 4
    ...else loop 5
    ...non_zero_amount
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    ...Else loop 1
    ...else loop 2
    ...else loop 4
    ...else loop 5
    ...non_zero_amount
    Leaving pauoarchn()
    Leaving pauoarchn()
    Current system time is 29-JUL-2009 11:15:48
    ...generated revenue for project id 35, number DP700150.01
    ...about to call pa_billing.bill_ext_driver( 35, 'Revenue', 'REG', '02-Aug-09', 804880 )
    Current system time is 29-JUL-2009 11:15:48
    ...exiting pa_billing.bill_ext_driver( 35, 'Revenue', 'REG', '02-Aug-09', 804880 )
    Current system time is 29-JUL-2009 11:15:49
    List of error messages for project id 35 for Revenue at location REG
    Procedure Name Message B Assgn Id Task Id
    pa_billing_pub.inse Create a default event type for this Bi 1
    Current system time is 29-JUL-2009 11:15:49
    ...generated revenue for automatic events for project id 35, number DP700150.01 calling loc REG
    ...about to call pa_billing.bill_ext_driver( 35, 'Revenue', 'POST-REG', '02-Aug-09', 804880 )
    Current system time is 29-JUL-2009 11:15:49
    ...exiting pa_billing.bill_ext_driver( 35, 'Revenue', 'POST-REG', '02-Aug-09', 804880 )
    Current system time is 29-JUL-2009 11:15:49
    Current system time is 29-JUL-2009 11:15:49
    ...generated revenue for automatic events for project id 35, number DP700150.01 calling loc POST-REG
    ...about to call pa_billing.bill_ext_driver( 35, 'Revenue', 'POST', '02-Aug-09', 804880 )
    Current system time is 29-JUL-2009 11:15:49
    ...exiting pa_billing.bill_ext_driver( 35, 'Revenue', 'POST', '02-Aug-09', 804880 )
    Current system time is 29-JUL-2009 11:15:49
    ...Fetching next project
    Current system time is 29-JUL-2009 11:15:49
    Current system time is 29-JUL-2009 11:15:49
    ...about to call AutoAccounting
    Current system time is 29-JUL-2009 11:15:49
    Current system time is 29-JUL-2009 11:15:49
    Current system time is 29-JUL-2009 11:15:49
    Current system time is 29-JUL-2009 11:15:49
    Warning in Flex Validation for line '35:353:1:1'
    Null GL# 50269 key flexfield is not allowed
    Warning in Flex Validation for line '35:353:2:1'
    Null GL# 50269 key flexfield is not allowed
    Current system time is 29-JUL-2009 11:15:50
    Current system time is 29-JUL-2009 11:15:50
    Current system time is 29-JUL-2009 11:15:50
    Current system time is 29-JUL-2009 11:15:50
    Current system time is 29-JUL-2009 11:15:50
    Current system time is 29-JUL-2009 11:15:50
    Current system time is 29-JUL-2009 11:15:50
    Current system time is 29-JUL-2009 11:15:50
    ...returned from AutoAccounting
    ...about to update pe_expenditures_all for intercompany processing
    Current system time is 29-JUL-2009 11:15:50
    Entering parddl()
    ...setting error codes and bad code combination id to null
    ... Update draft revenues for marking autoaccounting error if any
    Current system time is 29-JUL-2009 11:15:50
    1 rows updated.
    ... Update draft revenues without generation error to normal state
    Current system time is 29-JUL-2009 11:15:50
    0 rows updated.
    ... Update pa_cust_event_rev_dist_lines for setting bad CCID to null
    Current system time is 29-JUL-2009 11:15:50
    0 rows updated.
    ... Update pa_events for marking AutoAccounting error if any
    Current system time is 29-JUL-2009 11:15:50
    0 rows updated.
    ... Update pa_events for setting revenue_distributed_flag to 'N'
    Current system time is 29-JUL-2009 11:15:50
    0 rows updated.
    ... Update pa_cust_rev_dist_lines for setting bad CCID to null
    Current system time is 29-JUL-2009 11:15:50
    0 rows updated.
    ... Update pa_expenditure_items_all for marking AutoAccounting error if any
    Current system time is 29-JUL-2009 11:15:50
    0 rows updated.
    ... Update pa_expenditure_items_all for setting revenue_distributed_flag to 'N'
    Current system time is 29-JUL-2009 11:15:50
    0 rows updated.
    Leaving parddl()
    Current system time is 29-JUL-2009 11:15:50
    Current system time is 29-JUL-2009 11:15:50
    0 rows updated with Generation error due to ccid=-1
    Current system time is 29-JUL-2009 11:15:50
    0 rows updated with Generation error PA_REV_GEN_ERROR
    Current system time is 29-JUL-2009 11:15:50
    ...1 projects processed for revenue generation
    Current system time is 29-JUL-2009 11:15:50
    ...about to update proj summary funding amounts
    executing pa_billing.check_spf_amounts('B',35, , ) Calling check_spf_amounts...Inside the Single projects If
    Current system time is 29-JUL-2009 11:15:50
    ...about to generate reports
    Current system time is 29-JUL-2009 11:15:50
    ...completed generating reports
    Process completed.
    Start of log messages from FND_FILE
    End of log messages from FND_FILE
    Executing request completion options...
    ------------- 1) PRINT   -------------
    Printing output file.
    Request ID : 804880      
    Number of copies : 0      
    Printer : noprint
    Finished executing request completion options.
    Concurrent request completed successfully
    Current system time is 29-JUL-2009 11:15:50
    ---------------------------------------------------------------------------

    Hi Dina, thx for your response
    I have error "Rejection Error : Auto Accouting Error"
    There is Output :
    Project Num Revenue(Credited) Customer Agreement Rejection Reason
    DP700150.01 1 NOKIA SI( 1058) PKS-01/NSN/09 AutoAccounting Error

  • FCPX 10.0.7 hangs when loading multiple projects - a non-destructive work around

    FCPX 10.0.7 hangs when loading multiple projects - a non-destructive work around....
    Hi Guys, I upgraded from FCPX 10.0.6 to 10.0.78 and discovered to my immense frustration that many of my 100+ FCPX projects would not load.
    Symptom:
    FCPX 10.0.7 appears to hang ("spinning beachball") with either high CPU% on a single core or  with 1-2% CPU busy (activity monitor.app) when a FINAL CUT PROJECT is selected. No logs error information in /var/logs ..hmm
    notices that the "status circle" spins around endlessly.
    Actvity Monitor.app shows "Final Cut Pro (Not Responding)
    and also I notice in some cases that an OEM filter ("NEAT VIDEO NOISE REDUCTION") is in progress to be loaded. FCPX V10.0.7 must be FORCED QUITtted to remove it from system.
    History: Upgraded FCPX from V10.0.6 to V10.0.07.
    "FINAL CUT EVENTS" and "FINAL CUT PROJECTS" on a single file system on SAS 16TB disk array (768MB/sec read via AJA system test) and 60% utilised capacity… FCP EVENTS has 400 events in it .. some 5.2TB of Prores essence etc .. all works fine! (i.e. the storage system is first class and is NOT the issue)
    32GB of RAM on MAC PRO 2009 Nehalem 16 x Vcore with ATI 5780 card and ATTO HBA's (i.e. plenty of resources!)
    As usual with any "upgrade" to FCPX 10.0.? all the EVENT objects need to be upgraded. In my case this takes an hour or two.. so I do that when asleep.
    After experiencing above, I restored the FCPX EVENTS LIbrary of that file system from LTO4 tape archive (BRU-PE ) and still had the same issues as above.
    WORKAROUND:
    FORCEd QUIT FCPX V10.0.7
    RENAMEd "/volumes/some_file_system_volume/Final Cut Projects" to "/volumes/some_file_system_volume/Final Cut Projects_original"
    created (make) a new "/volumes/some_file_system_volume/Final Cut Projects" (use finder)
    For each project !!! in "/volumes/some_file_system_volume/Final Cut Projects_original", (do one project at a time!!!)
    MOVE "/volumes/some_file_system_volume/Final Cut Projects_original/one_fcpx_projext_nnnn_folder" to "/volumes/some_file_system_volume/Final Cut Projects"
    Make sure you move ONLY one project at a time. If you have a subfolder of projects, please do each project one at a time (serially!)
    Launch FCPX V10.0.7 and BE PATIENT!!! .. DONT click or fiddle with the UI.. it seems when you intervene it locks up as well…
    Let FCPX V10.0.7 settle….
    select the project you just added above  and RELINK any objects it needs. Thumbnails and proxies will be rendered again.. just be patient
    wait until ALL the rendering as stopped.
    QUIT FCPX V10.0.7
    (now if FCPX locks up, just force it out and start again as above).
    repeat for all projects in "/volumes/some_file_system_volume/Final Cut Projects_original" (go to step 4 ad do until all projects moved)
    When all is COMPLETED MAKE SURE YOU ARCHIVE an instance (or make a backup ) of "/volumes/some_file_system_volume/Final Cut Projects"
    If this procedure has worked the folder "/volumes/some_file_system_volume/Final Cut Projects_original" will have zero (nill, none) projects in it.
    I have managed to restore ALL my  "/volumes/some_file_system_volume/Final Cut Projects" this xmas between drinking etc. I'm satisfied that its all ok.
    Other issues:
    use DISK WARRIOR or TT PRO 6 to make sure that the file system volume where your  "/volumes/some_file_system_volume/Final Cut Projects" are is physically ok. I noticed some entries in file system's  volume table that represented objects in  "/volumes/some_file_system_volume/Final Cut Projects" were at fault when I used these utilities… FWIW.
    SUMMARY: yes this took ages to d, however luckily I had everything in at least 3 instances in an archive which has saved me many time in the old FCP& and prior days… it was just a matter of time to put it back together.
    I put this outage down to may be my own impatience when I first fired up FCPX 10.0.7 after the upgrade.
    I'm interested if this workaround is helpful to others and in addtiion if others have a more satisfactory remedy.
    HTH
    warwick
    Hong Kong

    Hi Eb, yeah I could not see any "memory leak" or unusual consumption of REAL memory whose less availability would cause excessive PAGEing and SWAPping as seen in the Activty Monitor.app
    I watch this carefully especially the use of REAL MEMORY by 3rd Paryy apps. BTW there are a few that cause ALLOCATED but NOT USED memory (blue in the A.M.app UI). Simply a unix PURGE command can release that memory and help clean up the PAGE and VM swap files (its alleged!).
    Yes, you may be might with the element of "Luck" involved. I would add though, that having MULTIPLE project displaying in the STORYLINE window and loading always caused my FCP 10.0.7 jam up at startup with h symtoms and observations I described.
    TIP: I might also add that for a super speedy launch of FCPX one may also emply setting each PROJECT's UI to show only AUDIO thus negating the need to contstruct or reneder out a PREVIEW ui in each clip in the storyline.
    Your/Apples  suggestion of the movememt (rename) of "Final Cut Pro Projects" to "Final Cut Pro Projects Hidden" is similar to what I proposed above to stop FCPX 10.0.7 accessing and building it up at startup. This workaround has been useful inthe past as well.
    ALso one might also get the stick out and remove (delete/rm) the ~/Library/Saved Application State/com.apple.FinalCut.savedState in one's home ~/Library so that FCPX wont do such a neat job reinstating FCPX last time you crashed it... This has been helpful also in diagnosing my issues.
    Lastly I have noticed that:
    impatience clicking on the FCPX UI when in the unstable state causes it to lock up with NO visible CPU% busy.... as if its waiting on something which is usually me MEMTERMing it via FORCE QUIT and
    the projects where I have employed the NEAT VIDEO Noise Reduction OEM filter for FCPX seem to exasserbate the PROJECT loading issues when several PROJECTS are available at FCPX startup time.
    As of yesterday I have some 400+ EVENTS Final Cut Pro Events and 130+ projects of varying compexites in a single file system on Final Cut Pro Projects all working fine and as good as gold again!
    Oh and one more thing, I had to RE-RENDER many projects of them again... strange as the FCP PROJECT library was renstated from a recent LTO archive as of V10.0.6 FCPX.. strange that...I would have expected if the projects and events were 10.0.6/7 compatable as proposed by Tom, that this would not be necessary... hmm straneg that
    I'll monitor this thread.
    Thanks for your comments lads!

Maybe you are looking for

  • Reg creation of  new Tcode for d existing Tcode

    Hi , i need to create new Tcode for d existing Tcode. For Ex. for abap editor Tcode is SE38.for dis i want to create a new Tcode like Z_se38.how can i do it.Plz explain me clearly.very urgent Thanks in Advance

  • Changes in BSP pages date format

    Hi Floks i am working Business server pages i got some problem in the date format. I am  getting date as dd.mm.yyyy and i want to change this to MM/DD/YYYY format . i tried to change this in like in ABAP coding . it s not working can give how can fix

  • Recent update has affected second display - help

    MacBook Pro, Dell E207WFP, in my case, after last update of 10.6.8, the macbook ONLY work with external monitor connected with mini-DVI to VGA adapter. If I remove the external monitor the MAC doesn't start. I want to go back to 10.6.7 or remove the

  • Modelling business objects

    Hello! I am developing a custom enterprise service with ESR and PI 7.1 SP 4. According to the german book "Entwicklung von Enterprise Services für SAP" (SAP PRESS) it is possible to model the structure of a business objekt in SAP SERM with a "SAP Bus

  • Secure generation of PDF IF

    Hi all, As i know, a web service provide by ADOBE is used when generating a PDF IF . I want to know if it's possible to have a secure communication ( HTTPS .... ) with this web service . Thanks in advance for your answer. Bertrand