Output device for output condition record

How can i make an output device a required entry when creating a new output conditon record? 
Example:  When going into VV31 and setting up new output condition record, I am trying to force user to specify an output device. 
Can some one let me know if it is possible to do?
Thank you.

Hi
Write a Field Exit for that field
and trigger a error when it is not filled , such that the user always enters it.
Reward points if useful
Regards
Anji

Similar Messages

  • How to set a default output device for FAX outputs

    Hi Experts,
    Business requires to set a default output device for fax outputs ( Medium as 2 Fax medium ).
    as fas as print output ( medium as 1 print medium ) is considered we can maintain output device in condition record--> communication
    I tried maintaining output device in VP01 for my condition type based on sales org but it did not worked.
    Can you please suggest ways to achieve default output device for fax outputs.
    Thanks,

    Well, obvious solution would be to either update user profiles or change the output from 'process immediately' to 'process by a background job'. Then create a generic user ID with any fax number desired and use that user ID to process the output through the background job.
    This is not really an SD question, so if this simple option doesn't work for you, I'd suggest to discuss other custom solution with your ABAP / Basis team.

  • VB6 Using MCI Commands to Select MIDI Output Device for Playback in Windows 8.1

    I have written a MIDI Player program in VB6 that uses the multimedia control.  In Windows 8.1, I understand that midimapper is no longer available so the third party softwares which can set the output devices for MIDI do not work as they did for
    Vista and Windows 7.  I found this Microsoft example code that selects sound cards using MCI code and was able to modify the code to show me the MIDI output devices.  In particular I need to output to a USB to MIDI cable.  Can this code be modified
    to do just the PLAY function for midi out to the USB to MIDI cable?  I do not need the record side of the program.  Here is the MS article number since I can not post the link to the the VB6 program:  q182799
    With a modified code to play midi, I can then pull the necessary code into my MIDI Player Program.  Thank you for the help on this.

    Hi,
    For Visual Basic 6 questions, read the post at the following address
    http://social.msdn.microsoft.com/Forums/en-US/vbgeneral/thread/6a0719fe-14af-47f7-9f51-a8ea2b9c8d6b
    The reason why we recommend posting appropriately is you will get the most qualified pool of respondents, and other partners who read the forums regularly can either share their knowledge or learn from your interaction with us.  Thank you for your understanding.
    Kate Li
    TechNet Community Support

  • Update termination Error : Maintain Output device in User master record

    Hi All,
    For transaction IW32 when print is given we have getting Update termination error : Maintain Output device in User master record.
    I have set the user_settings = Space, for output_Options passed the output device . Even for control_paramters I have passed the device while calling the Smartform . However there is no use. Still I am getting the update termination error.
    Please let me know how to solve this issue. Any OSS Note is there for this.
    Thanks in Advance!
    Regards,
    Preethi G

    Hi,
    Thanks for the reply. There is no problem in the print program .
    In the user master record , if the output device is not given and in the print program if we pass the output device. the error is coming.Is there any way to solve the issue at the print program level with out maintaining the user master.Please let me know.
    Many Thanks!
    Regards,
    Preethi G

  • Problem in creating output device for RICOH Aficio MP 2000 PCL 5e printer

    HI,
    i have problem in creating a output device for the RICOH Aficio MP 2000 PCL 5e as i am unable make out which device type should be assigned and what should be given in spool server tab.
    i have pinged the printer in lan and it is connecting.
    when i am giving the print from new ricoh printer its going to some other old printer in network.
    we are unable to get the logo of our company when we are taking print from this printer from sap level.
    kindly suggest what other things has to be taken care.
    regards
    anil

    Hi,
    shall i do in development and then import the same to quality and production.
    also tell me what shd i put in these tabs
    version-sapsripthandling-driver
    list handling-printer driver
    printer character set-character set-syt character set
    we can find this tab in create option of device type.
    when i am trying to save its showing it has to be done on program level.
    kindly reply back as it will resolve the big issue for me.
    thanks
    anil

  • Changing audio output device for currently running program

    I'm not sure where to put this question, but all my searching hasn't seemed to find an answer...
    If I have a program running, iTunes, VLC, a game, whatever, and want to change audio output from say Line Out to Headphones, I have to restart the program for the change to take effect. Is this by design/normal or am I missing something somewhere?

    this is actually a quiet significant issue. There is no way in Flash to select the audio output device.
    Today  people have regular speakers and a separate headset. They all use the  speakers for music and the headset for voice. Skype i.e. has the option  to choose audio output device and its really handy, because
    you dont  have to bother to set the default device to the headset everytime you  want to use it.
    If you set the default device to the headset all other apps will send their audio  output to the headset - which is not what you want either.
    So people  really want to leave the default device set to the speakers and not to  the headset.
    Nokia did this for Java
    JRT 2.1 / Nokia UI API updated to version 1.4:
                AudioOutputControl extension for controlling which audio output device, for example the device loudspeaker or earpiece, is used to play back audio
    This really is an issue.

  • Cannot select Airplay Device for Output Audio

    I can't select my chosen AirPlay device for Output Device. It shows up as one of the options in the Sound System Preference, the Sound Selector in the Menu Bar or the AirPlay selector in iTunes (version 11.0.1). But, when I select it, it automatically re-selects the built-in speakers. Rebooting is the only way to restore this functionality. Even then, it seems to work for a time, then quits working. This problem has been reported elsewhere with no resolution that I'm aware of. Any ideas?

    Every get an answer to this?

  • Default output device for ALV report

    Dear friends,
    Here there is a user requirement that he want print a particular ALV report in a
    perticular output device. and want to fix that output device for that report instead of changing everytime.
    Kindly suggest.
    Regards,
    Praveen Lobo

    Hi,
    This way system is expected to search for default printer. What I would recommend you to do is call transaction SP01 within the report and pass the initial screen parameter thru FM.
    Hope this lead, help you solve your issue!
    Reetesh

  • Output Devices for Purchasing Groups

    Dear Friends/Gurus
    Please help me out on this issue
    We Configure Output Devices for Purchasing Groups in
    SPRO the path is
    SPRO-IMG-MM-Purchasing-Messages-Assign Output
    Devices for Purchasing Groups. I would like to know what is
    the Exact Usage of this Function.
    If we Assign a Output Device (Printer) to a P Group and
    create a PO using the same P Group, Do we get it any
    where?

    Hi
    Yes, u r right !.
    Once u configure the Printer determination for Pur group. u can take the print at the required location
    anand

  • Automatically choose an output device for itunes?

    I have several audio input and output devices, for example, a speaker phone for skype, speakers with sub woofer for itunes. Is there a way to make an Output device automatically be choose for a specific app such as itunes? I would never want to listen to itunes over the speaker phone nor skype with the speakers/subwoofer.
    I find my self often changing the output device with the ALT speaker icon in the upper right, but this doesn't always end well if you have already started the audio out of the wrong output device.
    Any ideas?
    thanks
    bob

    hello, friend.
    has the BASIS consultant made any settings for the printer? 
    regards.

  • Output device for MB51 List

    Hello Experts!
    Does anybody know how can I find to which output device a user sends the list he gets from MB51?
    Regards,
    Ileana

    Hi,
    The user says the documents are not printed there, and once the user clicks 'print', everything is printed automatocally, she doesn't do any input... Is theresomething like an output device for program / for user????
    Regards,
    Ileana

  • Cant select airplay device for output audio

    Every now and then I can not select airplay device for output audio, its there as an option but I cant select it.
    Restarting coputer helps, but very anoying.
    Also found this soulution here: http://forums.macrumors.com/showthread.php?t=1412191
    Killing coreaudio fixed it for me without a reboot:
    sudo kill `ps -ax | grep 'coreaudiod' | grep 'sbin' |awk '{print $1}'`
    This seems to be a common issue, so PLEASE solve it

    We are only users here...  file a report with Apple....
    http://www.apple.com/feedback/
    Regards,
    Shawn

  • The best output device for iPod?

    Hallo Everybody,
    Somedays ago I have seen an heard the T+A Caruso(http://www.taelektroakustik.de/deu/ta2/caruso/caruso.htm). This was it! Yes! Internetradio, CD and iPod in a perfect Combination of Sound! - and then the cold shower - 1700 Euro not $!
    Who know a ultimative solution under this price?
    DENON S52 is it not! no sound calibration!

    You can connect an iPod to any sound system. So the best "output device for iPod" is the same as the +best output device, period.+
    I'm told you get better sound (for the purpose of feeding into a sound system) if you connect the audio output through the docking connector, instead of from the headphone jack. You get +line level audio out+ like with other audio system components.
    You can do so if you have a Docking Station device with a stereo jack, or if you get a cable like this one.
    [Example of cable with docking connector and mini-stereo jack|http://www.restockit.com/Cables-To-Go-IPod-Audio-Cable-Apple-Dock-Connecto r-Mini-phone-Stereo-3-5-Mm-M-White-(73679B).html?source=froogle&Bvar5=100F1&Bvar 6=100F1&Bvar7=100F1]

  • Output Devices for mobile.

    Hi everybody,
    I need to create a new output device for an Intermec PM4I printer, and it should print from the server, because I can not have local printers on a mobile device.
    I created a new ouput device with the following information:
    Previously I installed the printer with its corresponding driver in Windows level:
    Device Type: LB_UBI for Direct Protocol
    Host Spool Access Method: C: Direct operating system call
    When I tested the printer, the spool requests are created and they say that were Processed completelly, but they never were printed.
    Do you have any idea?
    Regards,

    .

  • FM for creating Condition Records

    Is there FM for creating Condition Records for output type like transaction VV11 (Create Output - Condition Records: Sales)

    Hi there,
    FM for condition records? Why do you want to think of a FM for creating conditon records?
    Condition records is a master data that has to be manually created as per the business requirement.
    But what we can do is that if the data ia existing in an external legacy system, we can migrate that into SAP through a BAPI / BDC or LSMW depending on the volume of data.
    But in other cases condition records are manually created in SAP system.
    If at all you have a function module to create condition records, how would you ensure that it feeds correct data into the condition records? What key combination would the system take & what data would you want the FM to upload?
    How will you monitor what data is uploaded,.
    Regards,
    Sivanand

Maybe you are looking for