Output signal has a short halt.

I developed a measurement system with S&V toolkits.  I am sending a Gaussian signal to the D/A output which then goes to our loudspeaker as a white noise signal. In the meanwhile, I record two input signals to do FRF averaging analysis. I can hear the signal "cycle" every two seconds or so. 
Does the input averaging operation cause the problem for the output signal in S&V Vis? 
Thanks a lot for any responses

Hello,
If I understand your application correctly, you are generating a Gaussian white noise signal which you output to a speaker.  You have a process running in parallel that inputs two sound signals and then analyzes these input signals.  If I have misunderstood you, then please correct me.
From your explanation I am not clear on the exact problem.  Is the problem that you are seeing a small pause in the output signal, which you believe may be attributed to the processing that you are doing on the inputs?  Or, is the problem that the noise output signal seems to repeat itself once every two seconds or so?
If you are concerned that the FRF analysis is interfering with your I/O, could you possibly wait until after the data collection is complete to perform the analysis, rather than doing it on the fly?  If the problem is that the output signal is repeating itself, please explain to me how you have programmed the output (perhaps you could attach a screenshot of the part of your code that does the output).
With a little more information about the problem I should be able to provide you with better answers.  Thanks!

Similar Messages

  • NI9401 output signal

    Hi,
    I am currently uisng the CDAQ 9178 and the NI 9401 modules to generate the output signal. Currently I am testing out using the 
    Generate Finite Digital Pulse Train Retriggerable.vi from the NI example finder. The main problem i am facing is the I can generate a pulse but is unable to vary the frequency on the go. Meaning to say, whatever value i set initially will be taken as the fixed value in generating the pulse frequency. May I know what are the ways to generate an digital output pulse signal that has varying frequencies? up to around 100kHz? 
    Hope someone could help me thanks a lot
    Jhao

    Hi,
    What is the model of your machine ? Your AIO computer is design as stand alone AIO machine. If you wish to connect it to a TV, you can use an external video card such as one of these:
       https://www.google.com.au/search?q=usb+video+card&hl=en&rlz=1G1GGLQ_ENAU282&source=univ&tbm=shop&tbo...
    Regards.
    BH
    **Click the KUDOS thumb up on the left to say 'Thanks'**
    Make it easier for other people to find solutions by marking a Reply 'Accept as Solution' if it solves your problem.

  • Output signal distorted

    I have a pretty large VI which outputs high frequency signals to control some hardware and then takes an input from that hardware for image rendering.  My problem is that whenever I activate the imaging portion of my VI, the output signals become slightly distorted.  For example, a 1Hz sawtooth output doesn't ramp up smoothly but becomes slightly staggered.  This also messes up the frequency of the signal.  Does LabVIEW have problems outputting signals properly when another portion of the VI has a lot of cpu intensive processing?
    I am using the "Simulate Signal" block to create a 1 Hz sawtooth wave, 128 Hz triangle wave, and a 65,536 Hz square wave and outputting these signals through DAQAssist.

    Hi Laura,
    I went ahead and changed the 65,536 Hz square wave signal as the first input, but the three signals still do not output simultaneously.  Although the signals slightly change as I vary the Samples per Buffer and Cycles per Buffer, I can't seem to get a combination that is capable of outputting these two low frequency signals with my high frequency signal.  I would either get an error message about "DAC conversion attempted before data to be converted was available," or there would be no error message but the signal output didn't match the given specifications.  Attached is the vi with the modifications.  Presently, there is no error message with the given sampling information, however there is no square wave output at all, and the sawtooth waveform only shows a partial amount of the signal.  I had to make some slight modifications to the Waveform Buffer Generation vi in order to allow me to change phase, duty cycle, and offset of my square wave.  I don't think this would have any effect on the Cont Gen Voltage Wfm vi though.  Any ideas what's going on?
    Thanks a bunch,
    Anthony
    Attachments:
    Cont Gen Voltage Wfm-Int Clk multiple waveforms2.vi ‏121 KB
    Waveform Buffer Generation.vi ‏79 KB

  • What is the effect of output signal with the same sampling frequency but different number of samples?

    Hi,
    I'm using PXI4461 for audio measurement and there is a question about analog output. I'm using the Basic Multitone vi for generating multitone signal. The sampling rate is 200k and my question is how to determine the number of samples. I used an audio analyzer to measure the distortion of the output signal with 200k and 1k points and there are no different except the update rate. What number of samples is suitable for 200k sampling rate?
    Thanks,
    Bill

    The Sampling Rate determines the maximum frequency that you can see (the rate/2 according to Nyquist).
    The number of samples divided by the rate determines how long you will sample. This sample time can only be determined by you. Do you need .1 seconds worth of data, or 1 second? But note that the MINIMUM frequency that you can detect is 1/(Sample Time) or the Sampling Rate/Number of Samples. So if your system has a minimum and maximum frequency specification use that as your guild.
    [email protected]

  • Dynamic output signal control

    hi
    i am using a PCI-6259 card with Measurement Studio 2003 and NIDAQ 7.4
    I am trying to change an analog output signal on the fly, e.g. using a slider.
    My first attempt was using the 'WriteMultiSampleAsync' -function and installing an eventhandler.
    So when i put my write-function into the 'OnEvent'-function of my event handler i get an error
    message telling me that i got too less memory. Anyone has an idea how i can solve this problem?
    I think i'll have to use multiple buffers, but am not sure how this exactly works.
    Thx
    Markus

    Hello Markus,
    the examples that are installed with Measurement Studio which should be enough to give you an idea how to create such an application. If you are looking for a way to change an analog output with a slider, it is actually pretty easy. As log as you dont want to do a waveform output you dont need buffering at all.
    If you write a value to an analog output, this voltage will stay there until you write a new value or the PC is powered down. That is why it is enough to work with single point AO in this application.
    Pleas have a look at the "AO Point example". It will show you the principle.
    Ingo Schumacher
    Systems Engineer Sound&VibrationNational Instruments Germany

  • Starting triangular output signal

    Greetings,
    I made this VI, but there's an error in it and I don't think it's solvable like this.
    The triangular output signal should start when I press the boolean. Else the DAQ Assistant should put out 0V.
    Also when I press the stop button the DAQ Assistant has to put out 0V
    Is there another solution?
    Thanks
    Solved!
    Go to Solution.
    Attachments:
    Screenshot.png ‏23 KB

    I recently made a solution by using the "Select" function.
    The Triangle Pattern produces the pattern I want the DAQ Assistant to send out the Output Signal.
    I'm using a USB 6008.
    But now I have a different issue. When I press the Start button, there's a sudden high pulse of 1V and then the output continues the regular Triangle Pattern.
    Attachments:
    Triangle voltage .jpg ‏35 KB

  • My internal speaker no longer shows as an output option; has anyone experienced this?

    My internal speaker no longer shows as an output option; has anyone encountered this before?

    Hopefully one of these steps should solve the problem.
    1. System Preference > Sound > Output > Internal Speakers
        Highlight  Internal Speakers / Headphones
        Make sure Mute is not enabled.
    2. Remove the headphone.
        If you see red light in the headphone port, plug the headphone
        in and out of the port 5 or 6 times to flip the microswitch inside.
        Sound output may be stuck in the digital mode.
    3. Reset PRAM.  http://support.apple.com/kb/PH4405
    4. Reset SMC.     http://support.apple.com/kb/HT3964
        Choose the method for:
        "Resetting SMC on portables with a battery you should not remove on your own".
    5. Applications > Utilities > Audio MIDI Setup
        Audio Devices window
        Side Bar
        Click the Built-in Output.
        Under Mute any of the boxes checked? If so, uncheck it.
        Option click the speaker icon, if present and select Internal Speakers.

  • When i plug my ipod in it shockes me. i think it has a short

    when i plug my ipod in it shockes me. radio shack said it probably has a short. how do i get it fixed?
    and what is apples # ? i cant find it

    Make an appointment at the Genius Bar of an Apple store. Also see:
    Apple - Support - iPod - Service FAQ

  • Purchase Order going to Dump if Output Message has Dispatch Time 4

    Hi All,
    We are getting a Runtime Error while saving or changing any Purhase Order, if the Output Message has Dispatch Time maintained as 4 i.e. Send Immediately.
    For all other dispatch time there is no issue. We have maintained condition record for the Message Type with Print Medium as 1 and Dispatch Time as 4. Also, the printer is maintained in the communication tab.
    No printer is maintained as default in the User Profile. No Printer is assigned to purchasing group. Our requirement is to print on the printer specified in the Condition Records itself.
    We are using Smartform, not standard SAP script for printing.
    Please suggest how we can rectify this issue.
    Regards,
    Ankit

    Hi Jurgen,
    Sorry for missing out the Dump details earlier.Please find below an extract from the dump:
    Category               ABAP Programming Error
    Runtime Errors         POSTING_ILLEGAL_STATEMENT
    ABAP Program           FRMSCM0012
    Application Component  Not assigned
    Date and Time          10.06.2014 08:30:46
    Error analysis
         There is probably an error in the program
         "FRMSCM0012".
         This program is triggered in the update process. The following ABAP
         statements are illegal here:
         - CALL SCREEN
         - CALL DIALOG
         - CALL TRANSACTION
         - SET SCREEN
         - LEAVE TO LIST-PROCESSING
         - SUBMIT
         - LEAVE SCREEN
         - LEAVE LIST-PROCESSING
         - LEAVE PROGRAM
         - LEAVE TO TRANSACTION
         - MESSAGE I/W/E (if not handeld using EXCEPTIONS ERROR_MESSAGE)
         - MESSAGE A
    How to correct the error
         Probably the only way to eliminate the error is to correct the program.
    If the error occurs in a non-modfied SAP program, you might be able to
    find a solution in the SAP Notes system. If you have access to the SAP
    Notes system, check there first using the following keywords:
    "POSTING_ILLEGAL_STATEMENT"
    "FRMSCM0012" bzw. FRMSCM0012_SF
    "SFORM_PRINT_OUTPUT"
    Information on where terminated
        The termination occurred in ABAP program "FRMSCM0012", in
         "SFORM_PRINT_OUTPUT". The main program
        was "RSM13000 ".

  • How to create 2 output signal locked in phase from a one input signal

    Hi all,
    I have a case in which I want read in a signal analog input (voltage) from a photodiode, I want to create a 2 sinewave signal locked in with same phase as the input signal and output them as analog output to my 2 laser diode. the frequency of the input signal is low aroud 15 Hz , the 2 output signal i want to be  around 120 hz and 150 hz . 
    I am using USB - 6003 daq card.
    I have created a vi to see the input signal but I dnt know how to create 2 signal from the waveform generator locked in the phase as the input signal. I have seen a PLL vi , but dont know how to use that with my program.
    can any one tell me how to proceed.
    thanks
    AG
    Attachments:
    pulse_PLL.vi ‏27 KB

    Hi AnkitG,
    Here are a couple of links that might help you understand a little more how the PLL work and a way to synchronize the task to perform a PLL.
    http://digital.ni.com/public.nsf/allkb/07BC8D77D4E9AE258625708B007CE74F?OpenDocument
    http://digital.ni.com/public.nsf/allkb/2F675A9F2162EA24862572570059A308?OpenDocument
    Also, you should be able to find a lot of examples on how to accomplish the synchronization of tasks on the community, if you consider that the only consideration is that both must start at the same moment. 
    I hope, these examples might show you some useful concepts:
    http://digital.ni.com/public.nsf/allkb/EBD603683446EC13862575B3006A63A2
    http://digital.ni.com/public.nsf/allkb/9E71F8D7D3CD1B0886257688004AE353
    Regards,
    Luis C.
    National Instruments

  • Output signal high at DIO lines of 7330

    Hi
    I am using Labview 7.1 with Ni motion controller. I am trying to detect the output signals at the auxiliary DIOports of the card using the attached programme in the NI example finder. My board ID is 1 (thats what was allowed by the programme) and I put port 1 , to check the DIO lines of it. I checked pin 10 (port 1-bit 0) and pin 44 (port1-bit 1) using an oscilloscope. The output is high at 5volts.
    I changed the DIO pin polarity switches to active low status but the output remains the same.I fiddled with the set and reset buttons of the programme, initialize the card but nothing changes.  Any idea on how to figure whats wrong? 
    Thanks
    Mal
    Attachments:
    Auxilliary Digital Output.vi ‏57 KB

    Hi
    Thanks for the reply. Sorry for the delay in response as I was on vacation. I tried your programme but the result remains the same. The output is always high.
    Max shows the card is working properly. It also shows the board type as 63. But the programme only takes in board ID as 1. How can set the direction using MAX and save the settings?
    I tried the write 1 point example programme on axis 1 ,line 1 and tested the signal on pin 44 and 42 (oscilloscope ground), and toggled the line but the output is high. But when I stopped the programme with the STOP button it gave an error message 70102 with a possible cause the setting doesn't match the line direction?
    The oscilloscope ground was firmly connected to pin 43 or 42 of the board for all tests.
    I appreciate your help.
    Thanks
    Mal

  • Unsupported output signal for Sony Bravia KLV-32T550A

    I have a mac mini 2007 running 10.6.3. I am trying to connect to a sony bravia KLV-32T550A either through VGA or DVI. Both keep coming up with error message (unsupported output signal, adjust your pc signal)
    I have updated setting on the TV as per some forums I have read so am sure its not the TV.
    Now the TV says the native resolution is 1366 x 768 but there is no exact match in display settings. Could this be the problems.
    I have read that i can download switchresx and this should fix the problem.
    Can anyone shed any light on this it would be much appreciated>
    Thanks

    Definitely the second computer can be a big help. If you set up Screen Sharing between the two so that the mini's screen is shared over to the MacBook, you will be able to see the mini's screen on the MacBook even though the display connected to the mini is dark. And from the MacBook you will even be able to control the mini, so you can go into Displays Preferences on the mini and make alternate selections for resolution and, if necessary, refresh rate. Hopefully you will hit on a combination that will light up the mini's attached display.

  • Change form of output signal without initializing new process of output signal

    Hello!
    How to change form of output signal produced on the output channel without initializing new process of output signal?
    Thanks 
    Message Edited by _Pavel_ on 10-28-2009 05:21 PM
    Solved!
    Go to Solution.

    I'm working with LabView 8.5.1.
    I have periodic TTL impulse with constant frequency – signal to start , which I'm used to start data acquisition (PCI-6251)and generation signal (PCI-6221).
    When TTL signal a detection, necessary generation some analog signal (PCI-6221) and acquisition other analog signal (PCI-6251).  Then wait following TTL signal.
    At this moment I write the part of the program which is responsible for data acquisition. Function retriggering (In examples C:\ProgramFiles\National Instruments\LabVIEW8.5\examples\DAQmx\Synchronization\Multi-Function.llb\Multi-Function-CtrRetrigg Pulse Train Generation for AI Sample Clock.vi) is used. This function necessary,because we start data acquisition each time on arrival TTL impulse, andrepeated creation of the virtual channel of data acquisition with the newtrigger spend a lot of time.
    The problem consists in the following. Generation of the data from other card should occur on the same impulse TTL as for the data acquisition card and then generation zero value.
    How I can realize it? I planned to change the form of an impulse of generation when detected TTL an impulse, without reinitialize process.

  • Gateway In problem (Wroung output signals)

    Hi,
    I build a FPGA circuit to test.
    The source come from a normal simulink block “Pulse Generator”.
    After the signal go through the block "Gateway In", the output signal of the ""Gateway In" is wrong.
    Is there some one can give me some advice ? Thank you.
     

    The problem is your sample period on the gateway in block. It's only taking in one sample every second but your pulse is going much faster. And your simulation only runs for 0.001 seconds. So you're basically seeing the output of 1 sample.

  • My ipod has a short battery life from charging too long.

    My ipod has a short battery life from charging too long. What can i do about it?

    please help

Maybe you are looking for

  • Add a new language

    I want to add a new language for peoplesoft. For example: I want to add the simple Chinese to the HRCS system. It stops at somewhere, and then I want to do it again, so I kill the old process and then use data mover to add the language. It will tell

  • Acrobat 9 installation/config procedure repeats every time

    I have just transfered Acrobat 9 to a new laptop running Windows 7 Professional from previous laptop running XP. Every time I click on the program from the Start Menu it goes through a full install and config procedure before opening. How can I preve

  • Opposite side is hearing his own voice along with mine in iphone 4.

    I have an iphone 4. Software version is 4.3.5. I am having a problem while calling. Call is okay; but opposite side is hearing his own voice along with my voice. Its like a repeat to him. He is also hearing me. From my side (iphone 4) its okay. Is th

  • Connection timeout after 7.6.4

    I recently updated my time capsule to version 7.6.4.  Immediately after that my MacBook would not connect to my network and constantly says connection timeout.  I have reset the SMC have rebooted everything at least twice.  I have reset the settings

  • Creating planning functions

    Hi, In BPS, I have created Planning area, planning levels, and planing packages, but when i try to create planning functions, i get the message "select a node in the related tree". plz guide me how to create the planning function. thanks Priya