Portal Import Fails

Import fails and from the log.
Setting site_import_map(0) to 0
Setting site_import_map(1) to 1
Setting site_import_map(2) to 2
Setting site_import_map(4) to 4
Setting site_import_map(5) to 5
Setting site_import_map(6) to 6
Setting site_import_map(8) to 8
Setting portlet_import_map()(39630) to 39736
*** Exception during Pronto import: ORA-06502: PL/SQL: numeric or value error: NULL index table key value
Completed On: 23-SEP-02 16:42:19
ORA-06502: PL/SQL: numeric or value error: NULL index table key value
Any thoughts ?

You are hitting bug 2216935 for pronto import. Specifically, one of the provider's information is not being handled internally which causes the pronto section of import to fail. This will be addressed in the next release. If there aren't too many pronto features, you can turn of this functionality at the page group level and re-export and re-import the page group. On the target you can then rewire the pronto features. Also, since the page group gets imported in this case - you can edit the pronto functionality on the imported page group and identify/fix the corrupted one manually.

Similar Messages

  • 9.0.2 page group import fails

    I'm trying to import a complete pagegroup, but the import fails , when i run the script to import the dmp file into the database. The log shows the following error. I dont know where i can correct this. The export went OK.
    $ pg AE*.log
    Connected to: Oracle9i Enterprise Edition Release 9.0.1.3.0 - Production
    With the Partitioning option
    JServer Release 9.0.1.3.0 - Production
    Export file created by EXPORT:V09.00.01 via conventional path
    import done in US7ASCII character set and UTF8 NCHAR character set
    import server uses UTF8 character set (possible charset conversion)
    export server uses AL16UTF16 NCHAR character set (possible ncharset conversion)
    . importing PORTAL's objects into PORTAL
    removed some lines>>>. . importing table "WWUTL_EXPORT_IMPORT$" 1 rows imported
    . . importing table "WWUTL_EXPORT_IMPORT_DETAIL$" 211 rows imported
    . . importing table "WWUTL_DOC_TX_DOCUMENT$" 0 rows imported
    . . importing table "WWUTL_IMPORT_MAPPING$" 0 rows imported
    . . importing table "WWUTL_NLS_TX_STRINGS$"
    IMP-00019: row rejected due to ORACLE error 1401
    IMP-00003: ORACLE error 1401 encountered
    ORA-01401: inserted value too large for column
    Column 1 10020125
    Column 2 wwc
    Column 3 ptl
    Column 4 10020125
    Column 5 ptb
    Column 6 <HTML>..<base href="http://iasdev.csn.com.br:7778/...
    Column 7 <HTML>..<base href="http://iasdev.csn.com.br:7778/...
    Column 8
    Column 9 <HTML>..<base href="http://iasdev.csn.com.br:7778/...
    Column 10 0
    Column 11 AE7A8BF371B30E3CE034080020E8D6DC 1508 rows imported
    removed some lines >>>>>>>>>>. . importing table "WWUTL_PTL_TX_FAVORITE_GROUP$" 0 rows imported
    . . importing table "WWUTL_SBR_TX_APPROVAL$" 1 rows imported
    . . importing table "WWUTL_SEC_TX_GROUP$" 0 rows imported
    . . importing table "WWUTL_SEC_TX_PERSON$" 0 rows imported
    . . importing table "WWUTL_SEC_TX_SYS_PRIV$" 288 rows imported
    . . skipping TOID validation on type PORTAL.WWSBR_SEARCH_PREFERENCE
    . . skipping TOID validation on type PORTAL.WWSBR_SITE_ARRAY
    . . skipping TOID validation on type PORTAL.WWSBR_LANG_STRING_PAIR
    . . skipping TOID validation on type PORTAL.WWSBR_LS_PAIRS
    . . skipping TOID validation on type PORTAL.WWSBR_STRING_PREFERENCE
    . . skipping TOID validation on type PORTAL.WWSBR_SEARCH_ATTRIBUTE
    . . skipping TOID validation on type PORTAL.WWSBR_SEARCH_ATTRIBUTE_ARRAY
    . . skipping TOID validation on type PORTAL.WWSBR_DISPLAY_ATTRIBUTE
    . . skipping TOID validation on type PORTAL.WWSBR_DISPLAY_ATTRIBUTE_ARRAY
    . . importing table "WWUTL_SRC_TX_PREFERENCE$" 0 rows imported
    Import terminated successfully with warnings.
    I'm migrating from development to a production environment. This is very urgent.
    Any help will be appreciated.

    Hi Andrew
    I guess this is one more to the yet long list of translation problems. What you say is also true for the "subpage" smartlink (it displays all versions of a same pages) for example ....
    i'm afraid we'll hav to wait for a major update.

  • Oracle portal import hangs - no errors

    I was trying to Import a newly developed page group into production environment from development environment.
    Running Script to Create an Export Dump File - no errors from portal or on
    backend.
    Running Script on Target System - No errors.
    Running imported transport set through The Import Manager on target - doesn't
    complete. Doesn't show any errors. It runs repeatedly and doesn't import any
    objects.
    Please note the same transportset imported on Testing without any errors.
    ### Steps to Reproduce Problem ###
    I created a Test page group in development. Exported and ranthe generated script in export mode on the server. Moved the files to target and ran the script in
    import mode. Ran the imported transport set through The Import Manager on
    target system.
    No errors at any stage and it doesn't import and it hangs there.
    ### Instance Name(s) and Type of System(s) Where Error Occurs ###
    -- Details of Target environment ---
    Database Version
    Oracle9i Enterprise Edition Release 9.0.1.5.0 - Production
    PL/SQL Release 9.0.1.5.0 - Production
    CORE 9.0.1.2.0 Production
    TNS for Solaris: Version 9.0.1.4.0 - Production
    NLSRTL Version 9.0.1.5.0 - Production
    Instance: [email protected]:1521:dmdinfra
    Portal Version
    VERSION
    9.0.4.1.0
    Oneoffs/Patches Applied
    The list of patches applied to this instance, if no records present that means
    that no patches were applied. BUGNO
    9041
    4047129
    4384354
    4541550
    4576030
    Details of Source environment
    Database Version
    Oracle9i Enterprise Edition Release 9.0.1.5.0 - Production
    PL/SQL Release 9.0.1.5.0 - Production
    CORE 9.0.1.2.0 Production
    TNS for Solaris: Version 9.0.1.4.0 - Production
    NLSRTL Version 9.0.1.5.0 - Production
    Portal Version
    VERSION
    9.0.4.1.0
    Oneoffs/Patches Applied
    BUGNO
    9041
    4047129
    4374973
    4541550
    4576030
    This issue is submitted for oracle support.
    I appreciate any advice or workaround solution.
    Thanks.
    Satya

    Hero,
    The import log file indicates that import fails for trigger WWSBR_THAT_BRIUD_TRG while merging of items. This is a known issue.
    Please look at bug 3228369.
    This is due to a data corruption issue on SOURCE instance wherein there are pending entries in thingattributes table for
    non-existing subtypeattributes.
    Mentioned below are the steps that needs to be carried out:
    i) Run the data cleanup script for 9023 (released via bug 3121103) in REPORT mode on source instance.
    ii) The output file Data_Validation.txt will indicate whether there are any data corruptions.
    iii) If any corruptions are identified then take a database backup, shutdown 902 Midtier and run the cleanup script in RUN mode on
    source instance to get rid of such corruptions.
    Then
    iv) Export the pagegroups from source instance once again.
    v) Remove the unsuccessully imported pagegroups from target instance using force_delete script.
    vi) And import the new transport sets.
    Hope this helps.
    Thanks,
    Pallav

  • Application Import Fails - Why??

    I have transferred my portal from Linux (Ver 3.0.9.8.2) to Win 2K (Ver 3.0.9.8.2). All the application imports failed to actually provide the applications. They did however bring in the shared templates that the applications use. I cannot re-create the applications by hand because when I try the portal responds by telling me that they already exist. Subsequent attempt to import them again also states that they exist.
    Looking for them in the portal navigator - they do not exist.
    Looking in wwv_modules$ shows my components.
    We now have an exact copy of our Linux Portal on Win2K except for the fact that it has absolutely no functionality.
    Is there any way that we can fix our new portal on Win 2K before I go ahead and try the same thing on our new Linux Server.

    Carola,
    I have no idea why this is happening. You may send the application export file (or the export file for page 60 only) to [email protected] and I will check it.
    Scott

  • Error on passing paramter names longer than 16 char on portal import page

    After created a transport set, we used portal import page to import it.
    However, when we select that transport set and click "import", an 404 error occured right away. The url is:
    /pls/portal/PORTAL.wwutl_ui_export_import.import_eds
    When we check the Apache error logs, it seemed all parameter names that are longer than 16 characters in the page's html form were passed wrongly. Those characters
    after the 16th character of the parameters' names were some unreadable or wrong
    ones. For example, P_EXPLICIT_MODE_EDS was passed as P_EXPLICIT_MODE_ˆ„N to the
    backend pl/sql procedure and that's why there was a "SIGNATURE (parameter names)
    MISMATCH" error I think.
    My question is actually why the internal portal pages couldn't pass parameter
    names that are longer than 16 characters. Are there some settings wrong with
    the portal metadata database or oracle portal itself, or even our linux system? Was it because maybe we set the multilanguage-support for our portal?
    This error also happens in some other portal internal pages. Please help and you can also contact me at email: [email protected]
    Thanks a lot!

    Hi,
    Found a note explaining the significance of these errors.
    It says:
    "NZE-28862: SSL connection failed
    Cause: This error occurred because the peer closed the connection.
    Action: Enable Oracle Net tracing on both sides and examine the trace output. Contact Oracle Customer support with the trace output."
    For further details you may refer the Note: 244527.1 - Explanation of "SSL call to NZ function nzos_Handshake failed" error codes
    Thanks & Regards,
    Sindhiya V.

  • Error while retrieving data in VC model Portal Request Failed

    Hello,
    I'm trying to use a ES workplace Webservice but not getting any results. The error message is Portal Request Failed ( Index: 0 , Size: 0). I tested calling the service from  the esworkplace and it worked. Although I hade to use some NULL value as variables. Is it also possible to give a null value along while testing the webservice from VC ?
    I'm on release 7.0 sp08. The service I call is on HU2 800 , service is MaterialSimpleByIDAndDescriptionQueryResponse_In.
    If any one knows a solution for this problem i like to hear from you.
    Regards,
    Jasper

    Hi Jasper,
    Have you followed the steps mentioned in this document?- > https://www.sdn.sap.com/irj/sdn/go/portal/prtroot/docs/library/uuid/a160392c-0e01-0010-7784-9cc564d871d2
    and optionally
    https://www.sdn.sap.com/irj/sdn/go/portal/prtroot/docs/library/uuid/5e9ca25b-0e01-0010-bbaa-f3b963e89edd
    If not, these How-to documents will solve your problem
    With Best Regards,
    Swapnil

  • "Import Failed" when trying to run a import (Integration) script from FDM

    Backgroud about the Issue : My source system for data is Oracle Orion and the Destination is HFM.Trying to import data fom orion to HFM through FDM via ODBC connectivity.
    Modified the "Integration Script Example" in fdm_admin guide and with this i am able to import data from the Orion to the FDM staging table "tDataSegX" however i am not abel to see any data in the FDM (Import Module) and thats when i get this error "Import Failed".
    Posisble casue according to my knowledge : My Import script only includes code to 1.Connect to ODBC data source 2. copies all the values from the Source table to the staging table.
    I am not sure if i am missing some attributes in the script ??!!
    can some one help me in checking if my imoport scritp is correct ??!!!
    Here is my script
    Function Import_int(strLoc, lngCatKey, dblPerKey, strWorkTableName)
    Dim objSS 'ADODB.Connection
    Dim strOra 'Ora string
    Dim rs ' As New ADODB.Recordset
    Dim rsAppend 'tTB table append rs object
    Set cnSS = CreateObject("ADODB.Connection")
    Set rs = CreateObject("ADODB.Recordset")
    Set rsAppend = DW.DataAccess.farsTableAppend("TDATASEG7")
    Dim strconn
    strconn="Provider=msdaora;Data Source=<<Data Source Name>>;User Id=<<Username>>;Password=<<Password>>;"
    cnSS.open strConn
    strOra = "Select * "
    strOra = strOra & "FROM <<Oracle View>>"
    'Get data
    rs.Open strOra, cnSS
    If rs.bof And rs.eof Then
    RES.PlngActionType = 2
    RES.PstrActionValue = "No Records to load!"
    Exit Function
    End If
    'Loop through records and append to tTB table in location's DB
    If Not rs.bof And Not rs.eof Then
    Do While Not rs.eof
    rsAppend.AddNew
    rsAppend.Fields("PartitionKey") = RES.PlngLocKey
    rsAppend.Fields("CatKey") = RES.PlngCatKey
    rsAppend.Fields("PeriodKey") = RES.PdtePerKey
    rsAppend.Fields("DataView") = "YTD"
    rsAppend.Fields("CalcAcctType") = 9
    'write conditions to eliminate null
    rsAppend.Fields("Amount") = rs.fields("YTD").Value
    rsAppend.Fields("Desc1") = test 'rs.fields("txtAcctDes").Value
    rsAppend.Fields("Account") = rs.fields("MAIN_AC_CODE").Value
    rsAppend.Fields("Entity") = rs.fields("COMPANY_CODE").Value
    rs.movenext
    Loop
    End If
    'Records loaded
    RES.PlngActionType = 6
    RES.PstrActionValue = "ODBC Import successful!"
    'Assign Return value
    SQLIntegration = True
    rs.close
    End Function

    HI,
    I first changed the table name to "strWorkTableName" and tried executing only the script from the Workbench client and got error as bellow
    Financial Data Management Workbench
    -2147467259 - Data access error.
    At Line: 20
    OK
    For some reason i am not ok with running the code in the workbench client (even for checking the syntax) because for the reason that i am not sure if all the parameter required by the funciton will be passed by donig just "Run script."So even with this error in the Work bench client i proceded to the Workflow module in the web interface and run the import and here is what i have now.
    Error: An error occurred importing the file.
    Detail: Invalid procedure call or argument
    here is the error message from the "View Erro Log"
    ERROR:
    Code............................................. 5
    Description...................................... Invalid procedure call or argument
    Procedure........................................ clsImpProcessMgr.fExecuteImpScript
    Component........................................ upsWObjectsDM
    Version.......................................... 1112
    Thread........................................... 15676
    IDENTIFICATION:
    User............................................. admin
    Computer Name.................................... <<ComputerName>>
    App Name......................................... <<ApplicationName>>
    Client App....................................... WebClient
    CONNECTION:
    Provider......................................... ORAOLEDB.ORACLE
    Data Server......................................
    Database Name.................................... HYPTDB
    Trusted Connect.................................. False
    Connect Status.. Connection Open
    GLOBALS:
    Location......................................... ORION
    Location ID...................................... 750
    Location Seg..................................... 4
    Category......................................... WLCAT
    Category ID...................................... 12
    Period........................................... Feb - 2011
    Period ID........................................ 2/28/2011
    POV Local........................................ False
    Language......................................... 1033
    User Level....................................... 1
    All Partitions................................... True
    Is Auditor....................................... False
    ** Begin FDM Runtime Error Log Entry [2011-03-21 14:43:42] **
    ERROR:
    Code............................................. 5
    Description...................................... Invalid procedure call or argument
    Procedure........................................ clsImpProcessMgr.fLoadAndProcessFile
    Component........................................ upsWObjectsDM
    Version.......................................... 1112
    Thread........................................... 15676
    IDENTIFICATION:
    User............................................. admin
    Computer Name.................................... <<ComputerName>>
    App Name......................................... <<ApplicationName>>
    Client App....................................... WebClient
    CONNECTION:
    Provider......................................... ORAOLEDB.ORACLE
    Data Server......................................
    Database Name.................................... HYPTDB
    Trusted Connect.................................. False
    Connect Status.. Connection Open
    GLOBALS:
    Location......................................... ORION
    Location ID...................................... 750
    Location Seg..................................... 4
    Category......................................... WLCAT
    Category ID...................................... 12
    Period........................................... Feb - 2011
    Period ID........................................ 2/28/2011
    POV Local........................................ False
    Language......................................... 1033
    User Level....................................... 1
    All Partitions................................... True
    Is Auditor....................................... False
    ** Begin FDM Runtime Error Log Entry [2011-03-21 14:44:05] **
    ERROR:
    Code............................................. 70
    Description...................................... Permission denied
    Procedure........................................ clsArchiveMgr.fArchiveSupercededDelete
    Component........................................ upsWObjectsDM
    Version.......................................... 1112
    Thread........................................... 7180
    IDENTIFICATION:
    User............................................. admin
    Computer Name.................................... <<ComputerName>>
    App Name......................................... <<ApplicationName>>
    Client App....................................... WebClient
    CONNECTION:
    Provider......................................... ORAOLEDB.ORACLE
    Data Server......................................
    Database Name.................................... HYPTDB
    Trusted Connect.................................. False
    Connect Status.. Connection Open
    GLOBALS:
    Location......................................... SAMPLE
    Location ID...................................... 748
    Location Seg..................................... 2
    Category......................................... WLCAT
    Category ID...................................... 12
    Period........................................... Feb - 2011
    Period ID........................................ 2/28/2011
    POV Local........................................ False
    Language......................................... 1033
    User Level....................................... 1
    All Partitions................................... True
    Is Auditor....................................... False
    ** Begin FDM Runtime Error Log Entry [2011-03-21 14:44:05] **
    ERROR:
    Code............................................. 70
    Description...................................... Permission denied
    Procedure........................................ clsArchiveMgr.fArchiveSupercededFlag
    Component........................................ upsWObjectsDM
    Version.......................................... 1112
    Thread........................................... 7180
    IDENTIFICATION:
    User............................................. admin
    Computer Name.................................... <<ComputerName>>
    App Name......................................... <<ApplicationName>>
    Client App....................................... WebClient
    CONNECTION:
    Provider......................................... ORAOLEDB.ORACLE
    Data Server......................................
    Database Name.................................... HYPTDB
    Trusted Connect.................................. False
    Connect Status.. Connection Open
    GLOBALS:
    Location......................................... SAMPLE
    Location ID...................................... 748
    Location Seg..................................... 2
    Category......................................... WLCAT
    Category ID...................................... 12
    Period........................................... Feb - 2011
    Period ID........................................ 2/28/2011
    POV Local........................................ False
    Language......................................... 1033
    User Level....................................... 1
    All Partitions................................... True
    Is Auditor....................................... False
    ** Begin FDM Runtime Error Log Entry [2011-03-21 14:44:05] **
    ERROR:
    Code............................................. 70
    Description...................................... Permission denied
    Procedure........................................ clsImpProcessMgr.fClearData
    Component........................................ upsWObjectsDM
    Version.......................................... 1112
    Thread........................................... 7180
    IDENTIFICATION:
    User............................................. admin
    Computer Name.................................... <<ComputerName>>
    App Name......................................... <<ApplicationName>>
    Client App....................................... WebClient
    CONNECTION:
    Provider......................................... ORAOLEDB.ORACLE
    Data Server......................................
    Database Name.................................... HYPTDB
    Trusted Connect.................................. False
    Connect Status.. Connection Open
    GLOBALS:
    Location......................................... SAMPLE
    Location ID...................................... 748
    Location Seg..................................... 2
    Category......................................... WLCAT
    Category ID...................................... 12
    Period........................................... Feb - 2011
    Period ID........................................ 2/28/2011
    POV Local........................................ False
    Language......................................... 1033
    User Level....................................... 1
    All Partitions................................... True
    Is Auditor....................................... False
    ** Begin FDM Runtime Error Log Entry [2011-03-21 14:44:05] **
    ERROR:
    Code............................................. 70
    Description...................................... Permission denied
    Procedure........................................ clsImpProcessMgr.fLoadAndProcessFile
    Component........................................ upsWObjectsDM
    Version.......................................... 1112
    Thread........................................... 7180
    IDENTIFICATION:
    User............................................. admin
    Computer Name.................................... <<ComputerName>>
    App Name......................................... <<ApplicationName>>
    Client App....................................... WebClient
    CONNECTION:
    Provider......................................... ORAOLEDB.ORACLE
    Data Server......................................
    Database Name.................................... HYPTDB
    Trusted Connect.................................. False
    Connect Status.. Connection Open
    GLOBALS:
    Location......................................... SAMPLE
    Location ID...................................... 748
    Location Seg..................................... 2
    Category......................................... WLCAT
    Category ID...................................... 12
    Period........................................... Feb - 2011
    Period ID........................................ 2/28/2011
    POV Local........................................ False
    Language......................................... 1033
    User Level....................................... 1
    All Partitions................................... True
    Is Auditor....................................... False

  • Photoshop Elements 9 Organizer "Import Failed"

    OK, I have had this problem before and I know there was a fix for it that had something to do with removing a "cache" file in my pictures folder.
    The issue is, we just simply use the organizer to get pictures from our camera. We have been doing this and it works nicely everytime.
    But once in awhile it scans the memory card, finds the new pictures, we select go ahead an import it, and after flipping through the pictures, it just stops with one message "Import Failed."
    This happened some time ago and there was thread somewhere which said the problem was due to a "incomplete transfer" and there were some files to delete and everything got better.
    Now it has happened again, and we cannot seem to find that article or remember what files to delete.
    Any help would be appreciated.  The solution was simple so we did not save the article for future reference
    Thanks in advance for your help.

    Hi,
    I would suggest, removing the Pictures folder from your watch folder list to solve this issue. For this, in the menu bar , go to File> Watched Folders... .Choose the problamtic folder from the list under 'Folders to watch' and hit 'Remove'. This would solve the current issue, however , you would be record to import files manually from that folder thereafter. Thanks.

  • Open Item Import fails with a process_flag = -999 or -888

    Problem description
    ============
    The Open Item Import fails with a process_flag = -999 in
    mtl_system_items_interface. This keeps the item from being imported into
    mtl_system_items. The process_flag has to be set back to 1 to have the row(s)
    reprocessed.
    Solution
    ======
    UPDATE mtl_system_items_interface
    set transaction_type='CREATE'
    where transaction_type=<'>
    Commit;
    Re run the Item Import it should go fine.
    Regards,
    Mehboob

    Hi,
    It looks like you have posted the same in below thread.
    Item Import completed with Process_Flag = 31, 41 and 42
    Any way if you have problem you can refer to below metalink notes.
    NOTE:103869.1 - Item Attribute vs Template Attributes Using IOI
    NOTE:106812.1 - Instructions for Running Item Open Import (IOI) Including Historical Revision Da
    NOTE:109628.1 - FAQ for Item Import
    NOTE:268968.1 - Understanding Item Import and Debugging Problems with Item Import
    NOTE:458544.1 - Inventory Item Open Interface ITAR Template
    NOTE:52746.1 - A Guideline to IOI Error Messages and Solutions
    Thanks
    Vishalaksha

  • Import Failed (Placing InDesign Files in InDesign CS6)

    I'm trying to place about 100 different ads in InDesign format into my InDesign CS6 document. The last couple years I've done this with CS4 without any issues. However, this year I'm using CS6. Now I'm running into the "Import Failed" error message after placing about 4-5 different files. The only way to fix it is to quit out of InDesign and relaunching it. When it relaunches, it actually automatically opens the document I had open before even though I had closed and saved it before quitting. So, to me, this seems like a glitch that's causing InDesign to think it crashed after quitting too. Has anyone else run into this? It is driving me absolutely insane.
    InDesign 8.0.1
    Mac OS X 10.7.5

    I figured it out. I just happened to think, "Hey, maybe it's Suitcase Fusion." Sure enough, as soon as I disable the plugin, everything is working fine. Go figure. I'll post something with them.

  • Req import fails with POCIRM-24a: ORA-01400:

    Req import fails with.
    POCIRM-24a: ORA-01400: cannot insert NULL into ("PO"."PO_REQ_DISTRIBUTIONS_ALL"."D

    Please post the details of the application release, database version and OS.
    Req import fails with.
    POCIRM-24a: ORA-01400: cannot insert NULL into ("PO"."PO_REQ_DISTRIBUTIONS_ALL"."DPlease follow the steps in these docs for details about the error.
    Adding To Cart Delivers Error - ORA-01400: Cannot Insert NULL Into ("PO"."PO_REQUISITION_LINES_ALL"."DELIVER_TO_LOCATION_ID") [ID 580002.1]
    FAQ: Common Tracing Techniques within the Oracle Applications 11i/R12 [ID 296559.1]
    Thanks,
    Hussein

  • IDoc import failed (RemoteUploadException - no_transaction)

    Hi all!
    I'm trying to import an idoc to the XI integration repository, but the import fails with the following message:
    **Ready for import**
    Import started...
    ZXIJ3AMAT./AFS/MATMAS04.ZXIMAT:
    com.sap.aii.ibrep.sbeans.upload.RemoteUploadException: Commit of the transaction not possible because the status is: no_transaction
    Import failed with 1 error
    Please note the following:
    - iDoc import (of ALL iDocs) was always OK, up to now...
    - from now on, iDoc import is NOT successul for all sort of iDocs
    - in SWCV, no recent changes in "Connection Data for Import from SAP System"
    After this message,
    trying to open the iDoc interface definition => then browsing into, a message/popup appears like that:
    Schema for type ZXIMAT.E1MARCM (category Data Type) not found
    (furthermore, red-highlighted the corresponding row)
    Please help...
    Thanks all in advance!
    Gianluca

    Hallo Gabriel,
    problem is solved.
    It was caused by an Oracle tablespace to be extended:
    > com.sap.aii.ibrep.sbeans.upload.RemoteUploadException: ORA-01691:
    > unable to extend lob segment SAPXIDDB.SYS_LOB0000039485C00004$$ by 128
    > in tablespace PSAPXIDDB
    I could notice this in following days, trying to import again...
    thanks & best regards,
    Gianluca

  • Data Services 12.2.3.0 BODI-1112015 Adapter metadata import failed

    Hi Experts,
    I am using Data Services 12.2.3.0.
    I have an issue in importing functions through 'Adapter' type datastore into Data Services. I can open the datastore and see the list of functions available, but when I try to import them, I get the error BODI-1112015 Adapter metadata import failed.
    The setup and the errors are as below.
    The adapter datastore is setup as below.
    I built a new keystore called clientkeystore.jks in the ..\bin.Then created the .CSR file, and then imported the signed chained (I believe it's chained certificate) certificate of the server hosting the wsdl into the keystore.
    Thanks for the post http://scn.sap.com/thread/1589052 . After changing the metadata character set to utf-8, I can see a list of functions when I open this New_Datastore in Data Services. It proves that the setup for the datastore has no problem parsing the wsdl file and give me the list of functions in it. 
    However, the error appears when I try to import them.
    Error is:
    Adapter metadata import failed. Error message: (BODI-1112015) Error parsing the <TheFunctionToBeImported> included in the XML sent by the adapter to represet a function <Error importing XML Schema from file <adapter_schema_in.xsd>:<XML parser failed: Error <Schema Representation Constraint: Namespace 'http://result.form.v81.api.keysurvey.com' is referenced without <import> declaration> at line <13>, char <46> in < < xsd:schema xmln:xsd=http://www.w3.org/2001/XMLSchema" xmln:tns="http://result.form.v81.api.keystore.com" xmlns:diws="http://businessobjects.com/diwebservice" targetnamespace="http://www.businessobjects.com/diwebservice"><xsd:import namespace='http://v81.api.keysurvey.com' schemaLocation='C:\Program Files\Business Objects\BusinessObjects Data Services\ext\webservice\FormResultManagemenetgetRespondentsgetRespondents0.xsd'/>
    <xsd: import namespace='http://result.form.v81.api.keysurvey.com' schemaLocation='C:\Program Files\Business Objects\BusinessObjects Data Services\ext\webservice\FormResultManagemenetgetRespondentsgetRespondents2.xsd'/> ........
    When comparing it with the wsdl file(as below), it is worth nothing that the schemaLocation is changed to a local directory under C:\Program Files\Business Objects\BusinessObjects Data Services\ext\webservice  while it was not the case in wsdl. The schemaLocation is on the server.
    I am wondering if the redirection from the server specified in the wsdl file to the local directory has caused this error. The error 'namespace is reference without <import>' is apparently wrong as the <import> is just there.
    Or there is any other reason behind this.
    I appreciate any adivce or question from you!

    I have reached the exact same error as this post http://scn.sap.com/thread/3190403
    The error is
    [Mon Jun 18 23:14:28 2012] [error] ..\..\src\core\deployment\conf_builder.c(876) Specifyingservices and modules directories using axis2.xml but path of the library directory is not present
    [Mon Jun 18 23:14:28 2012] [error] ..\..\src\core\deployment\conf_builder.c(261) Processing transport senders failed, unable to continue
    [Mon Jun 18 23:14:28 2012] [error] ..\..\src\core\deployment\dep_engine.c(939) Populating Axis2 Configuration failed
    [Mon Jun 18 23:14:28 2012] [error] ..\..\src\core\deployment\conf_init.c(195) Loading deployment engine failed for client repository C:\Program Files (x86)\SAP BusinessObjects\Data Services\ext\webservice-c\axis2.xml
    As it is identified as an version problem, this issue is not going to be investigated any further.
    As an alternative, can try to use Oracle 11g SOAP_API.sql.

  • Keyword import fails on non-ascii character

      I recently tried to import a long set of keywords (about 4000 terms).  i set up the file in excel and then tried to import the records.  I kept getting this message:  
    only text files encoded with ascii or unicode UTF-8 are supported when importing keywords.
    I finally tracked down the problem when i converted the file to a MS word text file, broke it down into parts and eventually found the problem record.  for some reason, the apostrophe in the words "don't know" had been corrupted to a weird character.  after i corrected this, everything worked. 
    however, this took a long time.   It would have been helpful if  lightroom could have at least pinpointed the line where the import failed or offered to convert non-compliant charaters to some specific character or set of characters.

    Yeah, that didn't work so well since SuperDuper ran across repeated errors trying to do so; I suspect it's something to do with the drive. (SuperDuper complains about WD's MyBook, which is what the drive is.) Because SD stops the entire copy operation on single errors, it'd be a painstaking process.
    Besides that, I like doing fresh installs of all the bits.

  • Error: import failed

    Hi everyone,
    I am trying to create a import format to read a .csv file. I have specified the load location and import group. In my Import format, I have fields Account, ICP, entitity and Amount and use the delimiter format to parse the fields. As the source file contains BegBalance and the 12 time periods, I need to do some VB scripting to bring in the correct amount depending on POV Period.
    However, when i try specifying a script in the Expression column of the Import Format and run the import, get a error: Import Failed. On the other hand, when i specify a field number in the Import Format and run an import, it works fine.
    Any ideas why this happens?
    Would appreciate a reply.
    thanks!

    Hi everyone,
    I am trying to create a import format to read a .csv file. I have specified the load location and import group. In my Import format, I have fields Account, ICP, entitity and Amount and use the delimiter format to parse the fields. As the source file contains BegBalance and the 12 time periods, I need to do some VB scripting to bring in the correct amount depending on POV Period.
    However, when i try specifying a script in the Expression column of the Import Format and run the import, get a error: Import Failed. On the other hand, when i specify a field number in the Import Format and run an import, it works fine.
    Any ideas why this happens?
    Would appreciate a reply.
    thanks!

Maybe you are looking for

  • Configuring APEX in oracle 11.1.0.7.0: apex admin screen is blank

    I am trying to configure apex in a oracle 11g (11.1.0.7.0) and after running the apxconf script and unlocking the anonymous account, I get blank screen for http://hostname:8080/apex/apex_admin same behavior for http://hostname:8080/apex Any ideas on

  • SOA suite 10.1.3.3 with OHS Apache 2.0 ... mod_oc4j errors

    Hi all, I have a SOA Suite 10.1.3.3 environment fronted by Apache 2.0. Installed OHS 2.0 from the Companion CD in a new OHS ORACLE_HOME Installed SOA Suite 10.1.3.3 in a new J2EE ORACLE_HOME Can access the consoles ok (BPEL, ESB, etc ...). Can access

  • Audit data in tables

    I need to audit the data for few tables and save the audit records into a table called app_audit where i would save the primary key of those tables, what record user updating, inserting or deleting and username and sysdate etc.... When a user perform

  • AVCHD log and transfer - Only from a camera?

    I am thinking about upgrading my camera to a AVCHD camera.  I saw on a post that you can only log and transfer AVCHD footage from the camera and not just the card attached via card reader.  Can someone shed some light on this.  I want to be able to s

  • How do I keep my bookmarks from reseting to the top of the bookmark list once I've scrolled and clicked a bookmark?

    When I scroll down and click a bookmark, the next time I open my bookmarks, it is reset at the very top again. Example, if I have 50 bookmarks with 1 at the top and 50 at the bottom, if I scroll down and click 40. It loads. Then I want to go to 42. W