Problem in Segment count

Hi guys,
I have a problem with segment count.
I.e. I want to count the customer , on the RESPONSE fact table, with a response by MAIL channel and response date >03/11/2009.
On the segmentation module i create a segment:
start with RESPONSE_METOD='EMAIL' (count 107 customer)
Keep RESPONSE DATE > 03/11/2009 ( count 12 customer)
TOTAL COUNT OF SEGMENT 12 customer. It's wrong because the correct answer is 7 customer.
instead
if i create a single "level of segmentation", as follow, it's work:
Start with RESPONSE_METOD='EMAIL' and RESPONSE DATE > 03/11/2009 (TOTAL COUNT 7 customer)
How is possible? I try to generate log file to look query but i receive the error "no log found" ( in rpd file i set log level at 2 for the user)
Best reguards for some suggestion
Bye

Hello User -
Try setting the log level = 7 for the user in the RPD, then save the RPD, clear cache in both RPD and presentation services, and re-run the request. This should allow you access to see the log in "Settings > Administration > Manage Sessions".
Once you do this, maybe you can paste the log in your next post and we can better attack the issue?
I hope this helps, and please give points if you found this useful!
Regards,
Jason

Similar Messages

  • Mapping iDoc to EDIFACT: Segment Count

    Hi experts,
    I am mapping a DELVRY05 iDoc to an EDIFACT DESADV document. Everythings fine so far, but I'm encountering troubles with filling the EDIFACT UNT Segment with the number of segments in the generated message.
    I am using the OPI2 conversion module and so I get a nice xml structure for the EDIFACT message. Is there a way to count created xml nodes? I think this would solve my issue.
    Thanks for your answers!

    That is an example for the source idoc
    <?xml version="1.0" encoding="UTF-8"?>
    <DELVRY05>
       <IDOC BEGIN="1">
          <EDI_DC40 SEGMENT="1">
             <TABNAM>EDI_DC40</TABNAM>
             <MANDT>100</MANDT>
             <DOCNUM>0000000002603007</DOCNUM>
             <DOCREL>700</DOCREL>
             <STATUS>30</STATUS>
             <DIRECT>1</DIRECT>
             <OUTMOD>2</OUTMOD>
             <IDOCTYP>DELVRY05</IDOCTYP>
             <MESTYP>DESADV</MESTYP>
             <SNDPOR>SAPKTS</SNDPOR>
             <SNDPRT>LS</SNDPRT>
             <SNDPRN>KTS100</SNDPRN>
             <RCVPOR>A000000016</RCVPOR>
             <RCVPRT>KU</RCVPRT>
             <RCVPFC>WE</RCVPFC>
             <RCVPRN>0004088156</RCVPRN>
             <CREDAT>20101123</CREDAT>
             <CRETIM>115322</CRETIM>
             <SERIAL>20101123115321</SERIAL>
          </EDI_DC40>
          <E1EDL20 SEGMENT="1">
             <VBELN>0080775647</VBELN>
             <VSTEL>0132</VSTEL>
             <VKORG>0130</VKORG>
             <LGNUM>132</LGNUM>
             <INCO1>EXW</INCO1>
             <INCO2>Leonding</INCO2>
             <ROUTE>009999</ROUTE>
             <VSBED>25</VSBED>
             <BTGEW>0.500</BTGEW>
             <NTGEW>0.500</NTGEW>
             <GEWEI>KGM</GEWEI>
             <VOLUM>0.000</VOLUM>
             <ANZPK>00000</ANZPK>
             <PODAT>20101123</PODAT>
             <POTIM>115321</POTIM>
             <E1EDL22 SEGMENT="1">
                <VSTEL_BEZ>Neuson Ersatzt.Linz II</VSTEL_BEZ>
                <VKORG_BEZ>Neuson Linz</VKORG_BEZ>
                <LGNUM_BEZ>LVS Neuson Linz Ersat. II</LGNUM_BEZ>
                <INCO1_BEZ>Ab Werk</INCO1_BEZ>
                <ROUTE_BEZ>NK Linz</ROUTE_BEZ>
                <VSBED_BEZ>Spedition</VSBED_BEZ>
             </E1EDL22>
             <E1EDL21 SEGMENT="1">
                <LFART>LF</LFART>
                <EXPKZ>X</EXPKZ>
                <LIFSK>10</LIFSK>
                <LPRIO>00</LPRIO>
                <KDGRP>02</KDGRP>
                <TRAGR>0100</TRAGR>
                <TRSPG>03</TRSPG>
                <E1EDL23 SEGMENT="1">
                   <LFART_BEZ>Auslieferung</LFART_BEZ>
                   <LIFSK_BEZ>zu verpacken</LIFSK_BEZ>
                   <KDGRP_BEZ>Handel</KDGRP_BEZ>
                   <TRAGR_BEZ>Transportgr. NK</TRAGR_BEZ>
                </E1EDL23>
             </E1EDL21>
             <E1EDL18 SEGMENT="1">
                <QUALF>ORI</QUALF>
             </E1EDL18>
             <E1ADRM1 SEGMENT="1">
                <PARTNER_Q>AG</PARTNER_Q>
                <PARTNER_ID>0004088156</PARTNER_ID>
                <LANGUAGE>EN</LANGUAGE>
                <FORMOFADDR>Firma</FORMOFADDR>
                <NAME1>Caterpillar Ltd.</NAME1>
                <STREET1>Peckleton Lane</STREET1>
                <POSTL_COD1>LE9 9JT</POSTL_COD1>
                <CITY1>Leicester</CITY1>
                <TELEPHONE1>14558258-00</TELEPHONE1>
                <COUNTRY1>GB</COUNTRY1>
             </E1ADRM1>
             <E1ADRM1 SEGMENT="1">
                <PARTNER_Q>SP</PARTNER_Q>
                <PARTNER_ID>0006006873</PARTNER_ID>
                <LANGUAGE>DE</LANGUAGE>
                <NAME1>DHL Express</NAME1>
                <STREET1>BleibtreustraÃu0178e 2</STREET1>
                <POSTL_COD1>1110</POSTL_COD1>
                <CITY1>Wien</CITY1>
                <TELEPHONE1>1 76600-3286</TELEPHONE1>
                <TELEFAX>1 76600-3475</TELEFAX>
                <COUNTRY1>AT</COUNTRY1>
                <REGION>W</REGION>
             </E1ADRM1>
             <E1ADRM1 SEGMENT="1">
                <PARTNER_Q>WE</PARTNER_Q>
                <PARTNER_ID>0004088156</PARTNER_ID>
                <LANGUAGE>EN</LANGUAGE>
                <FORMOFADDR>Firma</FORMOFADDR>
                <NAME1>Caterpillar Ltd.</NAME1>
                <STREET1>Peckleton Lane</STREET1>
                <POSTL_COD1>LE9 9JT</POSTL_COD1>
                <CITY1>Leicester</CITY1>
                <TELEPHONE1>14558258-00</TELEPHONE1>
                <COUNTRY1>GB</COUNTRY1>
             </E1ADRM1>
             <E1ADRM1 SEGMENT="1">
                <PARTNER_Q>OSP</PARTNER_Q>
                <PARTNER_ID>0132</PARTNER_ID>
                <LANGUAGE>DE</LANGUAGE>
                <NAME1>Neuson Ersatzt. Linz II</NAME1>
                <COUNTRY1>AT</COUNTRY1>
             </E1ADRM1>
             <E1ADRM1 SEGMENT="1">
                <PARTNER_Q>OSO</PARTNER_Q>
                <PARTNER_ID>0130</PARTNER_ID>
                <LANGUAGE>DE</LANGUAGE>
                <COUNTRY1>AT</COUNTRY1>
             </E1ADRM1>
             <E1EDT13 SEGMENT="1">
                <QUALF>006</QUALF>
                <NTANF>20101123</NTANF>
                <NTANZ>000000</NTANZ>
                <NTEND>20101123</NTEND>
                <NTENZ>000000</NTENZ>
                <ISDD>00000000</ISDD>
                <ISDZ>000000</ISDZ>
                <IEDD>00000000</IEDD>
                <IEDZ>000000</IEDZ>
             </E1EDT13>
             <E1EDT13 SEGMENT="1">
                <QUALF>003</QUALF>
                <NTANF>20101123</NTANF>
                <NTANZ>000000</NTANZ>
                <NTEND>20101123</NTEND>
                <NTENZ>000000</NTENZ>
                <ISDD>00000000</ISDD>
                <ISDZ>000000</ISDZ>
                <IEDD>00000000</IEDD>
                <IEDZ>000000</IEDZ>
             </E1EDT13>
             <E1EDT13 SEGMENT="1">
                <QUALF>001</QUALF>
                <NTANF>20101123</NTANF>
                <NTANZ>000000</NTANZ>
                <NTEND>20101123</NTEND>
                <NTENZ>000000</NTENZ>
                <ISDD>00000000</ISDD>
                <ISDZ>000000</ISDZ>
                <IEDD>00000000</IEDD>
                <IEDZ>000000</IEDZ>
             </E1EDT13>
             <E1EDT13 SEGMENT="1">
                <QUALF>007</QUALF>
                <NTANF>20101123</NTANF>
                <NTANZ>000000</NTANZ>
                <NTEND>20101123</NTEND>
                <NTENZ>000000</NTENZ>
                <ISDD>00000000</ISDD>
                <ISDZ>000000</ISDZ>
                <IEDD>00000000</IEDD>
                <IEDZ>000000</IEDZ>
             </E1EDT13>
             <E1EDT13 SEGMENT="1">
                <QUALF>010</QUALF>
                <NTANF>20101123</NTANF>
                <NTANZ>000000</NTANZ>
                <NTEND>20101123</NTEND>
                <NTENZ>000000</NTENZ>
                <ISDD>00000000</ISDD>
                <ISDZ>000000</ISDZ>
                <IEDD>00000000</IEDD>
                <IEDZ>000000</IEDZ>
             </E1EDT13>
             <E1EDT13 SEGMENT="1">
                <QUALF>015</QUALF>
                <NTANF>20101123</NTANF>
                <NTANZ>000000</NTANZ>
                <NTEND>20101123</NTEND>
                <NTENZ>000000</NTENZ>
                <ISDD>00000000</ISDD>
                <ISDZ>000000</ISDZ>
                <IEDD>00000000</IEDD>
                <IEDZ>000000</IEDZ>
             </E1EDT13>
             <E1EDL33 SEGMENT="1">
                <ALAND>AT</ALAND>
                <EXPVZ>3</EXPVZ>
                <IEVER>3</IEVER>
                <E1EDL34 SEGMENT="1">
                   <EXPVZ_BEZ>StraÃu0178enverkehr</EXPVZ_BEZ>
                   <IEVER_BEZ>StraÃu0178enverkehr</IEVER_BEZ>
                </E1EDL34>
             </E1EDL33>
             <E1EDL28 SEGMENT="1">
                <ROUTE>009999</ROUTE>
                <ROUID>NK LINZ</ROUID>
                <DISTZ>0.000</DISTZ>
                <E1EDL29 SEGMENT="1">
                   <ROUTE_BEZ>NK Linz</ROUTE_BEZ>
                </E1EDL29>
             </E1EDL28>
             <E1EDL24 SEGMENT="1">
                <POSNR>000010</POSNR>
                <MATNR>000000001000248477</MATNR>
                <MATWA>000000001000003928</MATWA>
                <ARKTX>PNEUMATIC SPRING</ARKTX>
                <ORKTX>Gasfeder 350N/L185/Hub64</ORKTX>
                <SUGRD>0001</SUGRD>
                <MATKL>000040900</MATKL>
                <WERKS>0131</WERKS>
                <LGORT>E002</LGORT>
                <LFIMG>1.000</LFIMG>
                <VRKME>ST</VRKME>
                <LGMNG>1.000</LGMNG>
                <MEINS>ST</MEINS>
                <NTGEW>0.500</NTGEW>
                <BRGEW>0.500</BRGEW>
                <GEWEI>KGM</GEWEI>
                <VOLUM>0.000</VOLUM>
                <LADGR>0131</LADGR>
                <TRAGR>0100</TRAGR>
                <VKBUR>0131</VKBUR>
                <VTWEG>02</VTWEG>
                <SPART>01</SPART>
                <GRKOR>000</GRKOR>
                <POSEX>000000</POSEX>
                <VFDAT>00000000</VFDAT>
                <EXPIRY_DATE_EXT>0</EXPIRY_DATE_EXT>
                <VGBEL>0001416863</VGBEL>
                <VGPOS>000020</VGPOS>
                <ORMNG>         1.000</ORMNG>
                <EXPIRY_DATE_EXT_B>0</EXPIRY_DATE_EXT_B>
                <E1EDL25 SEGMENT="1">
                   <LGORT_BEZ>Ersatzteill. 02</LGORT_BEZ>
                   <LADGR_BEZ>Neuson Ersatzteile</LADGR_BEZ>
                   <TRAGR_BEZ>Transportgr. NK</TRAGR_BEZ>
                   <VKBUR_BEZ>Neuson Ersatzteile</VKBUR_BEZ>
                   <VTWEG_BEZ>NK Ersatzteile</VTWEG_BEZ>
                   <SPART_BEZ>Produktsparte 01</SPART_BEZ>
                </E1EDL25>
                <E1EDL26 SEGMENT="1">
                   <PSTYV>ZERS</PSTYV>
                   <MATKL>000040900</MATKL>
                   <PRODH>409</PRODH>
                   <UMVKZ>1</UMVKZ>
                   <UMVKN>1</UMVKN>
                   <UEBTO>0.0</UEBTO>
                   <UNTTO>0.0</UNTTO>
                   <E1EDL27 SEGMENT="1">
                      <PSTYV_BEZ>Normalpos Ersatzteil</PSTYV_BEZ>
                      <MATKL_BEZ>Gasfeder</MATKL_BEZ>
                      <PRODH_BEZ>Gasfeder</PRODH_BEZ>
                      <WERKS_BEZ>Wacker Neuson Linz GmbH ET</WERKS_BEZ>
                   </E1EDL27>
                </E1EDL26>
                <E1EDL35 SEGMENT="1">
                   <STAWN>83024900</STAWN>
                   <EXPRF>10000</EXPRF>
                   <EXART>1</EXART>
                   <HERKL>FR</HERKL>
                   <GRWRT>          0.00</GRWRT>
                   <E1EDL36 SEGMENT="1">
                      <STXT1>Beschläge und ähnliche Waren, aus</STXT1>
                      <STXT2>unedlen Metallen, für anderweit nicht</STXT2>
                      <STXT3>genannte Zwecke (ausg. Scharniere, Lauf-</STXT3>
                      <STXT4>rädchen oder -rollen und Baubeschläge)</STXT4>
                      <EXPRF_BEZ>Ausfuhr o. voran. zollr. Best.</EXPRF_BEZ>
                      <EXART_BEZ>Kauf/Verkauf</EXART_BEZ>
                      <HERKL_BEZ>Frankreich</HERKL_BEZ>
                   </E1EDL36>
                </E1EDL35>
                <E1EDL43 SEGMENT="1">
                   <QUALF>C</QUALF>
                   <BELNR>0001416863</BELNR>
                   <POSNR>000020</POSNR>
                   <DATUM>20101123</DATUM>
                </E1EDL43>
                <E1EDL41 SEGMENT="1">
                   <QUALI>001</QUALI>
                   <BSTNR>TEST FAH</BSTNR>
                   <BSTDT>20101123</BSTDT>
                </E1EDL41>
             </E1EDL24>
          </E1EDL20>
       </IDOC>
    </DELVRY05>
    and thats what for the moment is the output for the EDIFACT before OPI2 conversion module. UNT segment count is hardcoded set to 1.
    <?xml version="1.0" encoding="UTF-8"?>
    <ns0:EDIFACTMessage xmlns:ns0="http://cbsgmbh.com/xi/EDIFACT/99A">
       <Header>
          <SenderID>Test_SenderSystem</SenderID>
          <ReceiverID>Test_ReceiverSystem</ReceiverID>
          <MessageType>EDIFACTMessage</MessageType>
       </Header>
       <ListOfDespatchAdvises>
          <DespatchAdvise>
             <HEADING>
                <UNH>
                   <UNH01>0000000002603007</UNH01>
                   <UNH02>
                      <S00901>DESADV</S00901>
                      <S00902>D</S00902>
                      <S00903>98B</S00903>
                      <S00904>UN</S00904>
                   </UNH02>
                </UNH>
                <BGM>
                   <BGM01>
                      <C00201>351</C00201>
                   </BGM01>
                   <BGM02>
                      <C10601>0000000002603007</C10601>
                   </BGM02>
                   <BGM03>9</BGM03>
                </BGM>
                <DTM>
                   <DTM01>
                      <C50701>11</C50701>
                      <C50702>00201011230000</C50702>
                   </DTM01>
                </DTM>
                <DTM>
                   <DTM01>
                      <C50701>132</C50701>
                      <C50702>00201011230000</C50702>
                   </DTM01>
                </DTM>
                <DTM>
                   <DTM01>
                      <C50701>137</C50701>
                      <C50702>00201011230000</C50702>
                   </DTM01>
                </DTM>
                <MEA>
                   <MEA01>PD</MEA01>
                   <MEA02>
                      <C50201>G</C50201>
                   </MEA02>
                   <MEA03>
                      <C17401>KGM</C17401>
                      <C17402>0.500</C17402>
                   </MEA03>
                </MEA>
                <MEA>
                   <MEA01>PD</MEA01>
                   <MEA02>
                      <C50201>N</C50201>
                   </MEA02>
                   <MEA03>
                      <C17401>KGM</C17401>
                      <C17402>0.500</C17402>
                   </MEA03>
                </MEA>
                <MEA>
                   <MEA01>PD</MEA01>
                   <MEA02>
                      <C50201>WT</C50201>
                   </MEA02>
                   <MEA03>
                      <C17401>KGM</C17401>
                      <C17402>0.500</C17402>
                   </MEA03>
                </MEA>
             </HEADING>
             <DETAIL>
                <CPS>
                   <CPS01>1</CPS01>
                   <CPS03>3</CPS03>
                </CPS>
                <SG16>
                   <LIN>
                      <LIN02>000010</LIN02>
                   </LIN>
                   <PIA>
                      <PIA01>1</PIA01>
                      <PIA02>
                         <C21201>0001416863</C21201>
                         <C21202>PO</C21202>
                      </PIA02>
                   </PIA>
                   <PIA>
                      <PIA01>1</PIA01>
                      <PIA02>
                         <C21201>000020</C21201>
                         <C21202>PL</C21202>
                      </PIA02>
                   </PIA>
                   <PIA>
                      <PIA01>1</PIA01>
                      <PIA02>
                         <C21201>TEST FAH</C21201>
                         <C21202>ON</C21202>
                      </PIA02>
                   </PIA>
                </SG16>
             </DETAIL>
             <SUMMARY>
                <UNT>
                   <UNT01>1</UNT01>
                   <UNT02>0000000002603007</UNT02>
                </UNT>
             </SUMMARY>
          </DespatchAdvise>
       </ListOfDespatchAdvises>
    </ns0:EDIFACTMessage>

  • Problem with message count

    hello ,
    I have problem with message count, in my inbox there are more than 0 messages but i got result of
    Folder inbox = store.getFolder("Inbox")
    int c =inbox.getMessageCount()
    of c is 0
    i.e i got c as zero rather than 5.

    I am seeing the same thing and I agree with MathiasF's observation.  My count seems to increase when I do a search for mail on the server.  This has happened previously (iOS 5.x) but ending the Mail app always fixed it.  The only way I can correct the count now is if I turn off sync for Mail on the Exchange account and re-enable.  This clears my mail and causes my phone to go out and redownload.  Rebooting and resetting the iPhone has not helped.
    I'm on Sprint - 32GB White iPhone 4S running iOS 6.0 (10A403)

  • Segment Count is wrong in Oracle B2B

    Hi,
    Greetings to B2B Gurus!!!
    We are processing 810 invoice files for one of customer and facing an issue with Segment Count (SE01). When i process the files via B2B, payload message is failed with validation error. If i remove validation check, EDI file got generated without any issues. Please find the sample edi file that got generated.
    Total Number of Lines from SE-ST = 30 but the value shows 38.
    ST*810*1118
    BIG*20130320*5024274872**30440*30440**DI
    N1*ST*RAILWAY
    N3*100 NE JEFFERSON TRFWAY
    N4*TOPEKA*KS*66607
    N1*BT*BNSF RAILWAY
    N3*2500 LOU MENK DR
    N4*FORT WORTH*TX*76131
    N1*RE*Ricoh USA, Inc.
    N3*P.O. Box 660342
    N4*DALLAS*TX*752660342
    ITD*01*3****20130330
    IT1*R*4414*QB*10****SN*C86007989
    PID*F****413606 TOPEKA KS 30440RICOH, MP2000 USAGE ITEM FOR TOTAL COUNTERS
    REF*91*602134
    IT1*R*4414*QB*10****SN*C86007989
    PID*F****413606 TOPEKA KS 30440RICOH, MP2000 USAGE ITEM FOR TOTAL COUNTERS
    REF*91*602134
    IT1*R*4030*QB*10****SN*C86007989
    PID*F****413606 TOPEKA KS 30440RICOH, MP2000 USAGE ITEM FOR TOTAL COUNTERS
    REF*91*602134
    IT1*R*3838*QB*10****SN*C86007989
    PID*F****413606 TOPEKA KS 30440RICOH, MP2000 USAGE ITEM FOR TOTAL COUNTERS
    REF*91*602134
    TDS*27286
    TXI*CS*0****1
    TXI*CT*0****1
    TXI*ST*22.42**CD*KS
    CTT*4
    SE*38*1118
    Any help on this highly appreciated.
    Thanks,
    Saravana

    Hi Saravana,
    Are you passing the segment count from from back-end or you are using segment count macro in the EDI XML so that B2B can generate the count for you?
    When i process the files via B2B, payload message is failed with validation error.If B2B is generating segment count, then this might be the reason behind incorrect count. May I know exactly what validation error do you get?
    Regards,
    Anuj

  • Problem with multiple counter plan containing running hrs and no of months

    Hey gurus,
    In my project the preventive maintenance of compressor is such that it is performed after 500 hrs or 6 months whichever comes first. Now i ve created a cycle set cosisting of 500 hrs and 6 months. In the multiple counter plan i ve assigned a counter to the plan for calculating 500 hrs and chosen the link as OR. I scheduled the plan and given the start date as 01.05.09. Now I am facing the following problem:
    Suppose my counter value reaches the 500 mark on 01.08.09. Now a maintenance order is generated. My client now wants that the next order should be generated after the counter reading reaches 1000 or the date reaches 01.02.10, ie, the order should be generated for next 500hrs or NEXT 6 MONTHS from
    the date of completion of first cycle, whichever comes first.
    Pls help....
    Regards,
    Abhishek

    Hi
    If u have scheduled ur plan according to cycle set. It will take the Point of contact as 6 months.
    OK
    Ex : Cycle set : 500 hrs and 6 months, maintained OR functions
    i have entered the measurement reading as 500.. thats why, it gives the call for todays date...
    see the next date will be after 6 months... If u update the measuring point only, it will open a order... otherwise.. once u have scheduled it for 6 months.. once in 6 months it will give a order...
    1     11.05.2009               0     New start  Save to call
    2     07.11.2009     07.11.2009          0     Scheduled  Hold
    3     06.05.2010     06.05.2010          0     Scheduled  Hold
    4     02.11.2010     02.11.2010          0     Scheduled  Hold
    5     01.05.2011     01.05.2011          0     Scheduled  Hold
    6     28.10.2011     28.10.2011          0     Scheduled  Hold
    7     25.04.2012     25.04.2012          0     Scheduled  Hold
    Schedule for a long period.. u will be able to understand the scenario...
    - Pithan

  • Yosemite 10.10.1: Finder/CIFS mount problem: wrong folder count/folders not shown @samba shares

    Hello to all,
    we have several MacBook Pro (15" MBP Retina 2012/13"MBP Retina 2014) running. After upgrading to Yosemite 10.10.1 we had one nightmare after the other.
    Most problems could be solved with 3rd party software upgrades but there are several problems with the operating system itselve which can not really  be called a professional productive system at the moment...
    Ok., one thing after the other...here is one of our biggest problems:
    After upgrading from Mavericks or Mountain Lion to Yosemite 10.10.1 the CIFS/SMB mounts to our samba 3.x servers, running on Ubuntu Linux LTS 12.04 makes trouble. We could mount the server shares but after browsing with the Finder the beach ball occures and the Finder hangs forever. Sometimes we could not refresh the Finder, killing the process ended in a complete hang-up of the system which ended in a switch off death blow. This is not amusing because we have 30Tb of customer data there, which has to be worked out.
    We heard about the SMB3 protocol which will be used per default in Yosemite and so we decided after some testings to upgrate our Ubuntu servers to the latest LSF 14.04. release with samba 4.1.6 installed. There was no message from Apple for this SMB protocol release upgrade, never heard something related to Yosemite (Why?).
    Ok, after these server upgrades and disabling most of the Spotlight functions the performance to the CIFS shares was a little bit better as before but now we have another problem with the Finder...if you browse to the shares there are folders missing which have lots of files in it. For example there is a folder with 60 subfolders and 3562 files on the first level in it, the Finder shows 220 files and 15 folders. The Terminal shows a different count with "find . -maxdepth 1 -type d | wc -l" or "find . -maxdepth 1 -type f | wc -l" but there is also a difference to the original file and folder count. It doesn`t matter if the clients are connected via WiFi or Gigabit Ethernet. We have no access problems. We have the same behavior if we set the files and folders to 0777 permissions on the servers. The deletion of the preferences files of the users on the MacBooks does not solve the problem. If we use the "Go To Folder" option and type the path to a folder which is not shown in the Finder you get an result or not. But it is also possible that the result is not correct and there are also still files or subfolders missing.
    In my opinion this is a timeout problem and a "special SMB3 protocol interpretation" which is buggy. We have one hint found in /var/log/system.log:
    "Jan 23 14:35:49 wsosx33.clients.getcom.de KernelEventAgent[69]: tid 54485244 type 'smbfs', mounted on '/Volumes/customersdata2015', from '//x144067:@srvlxp013.servers.getcom.de/customersdata2015', not responding"
    We had no problems with Mavericks at all, we still have no problems with Windows 7 Pro/Ultimate, Windows 2008R2 (native or virtual) or Linux Mint 17/17.1 clients, neither with samba 3.x nor with our actual environment and different newer samba releases.
    We believe that this is a big bug in Yosemite, but we cannot go back to Mavericks because of incompatible TimeCapsule backups. Our workarround at the moment is that we have installed Linux Mint on our MacBooks to get 80% of our daily jobs done, rest has to be done with Windows 7 installed over virtualbox @Linux.
    We have no clue at the moment how to solve this problem, the samba logs do not give any hint. The access from other operating systems is perfect and very fast, but not with Yosemite. We checked different tips found in the internet but nothing helped.
    Does anybody has another idea to get Yosemite working or should we keep Linux Mint until Apple will provide a CIFS/SMB patch and going on with our workaround solution, which is productive at the moment ??? Our staff is not amused and wants one solution and not this workaround with two operating system. We have to decide wether we wait until Apple will get this fixed or we have to switch to Microsoft Windows (which is a No Go for me, but I will not be asked...).
    Thanks in advance
    C.

    Hi William,
    thank you for your response.
    As you can read in my post I talked about CIFS/SMB.
    It makes no difference if we mount the share over CIFS or SMB.
    Folders with lots of files will not be shown even if we use SMB3 or an older protocol.
    As mentioned I believe this is a timeout problem.
    Does anybody know how the CIFS/SMB timeout setting in Yosemite could be changed?
    Kind regards
    C.

  • Problem with syncing count of plays with itunes

    Hi everybody,
    Recently I lost my laptop (it has been stolen). Also i lost all data on harddrive including itunes libary. Thing is, all my music was on iPhone. Some of albums I bought in iTunes store, some of them imported from cd's. There was lots of stuff. When I bought another laptop, and connected my iPhone it says all data will be deleted from iPhone and replaced with data from itunes library. So I didn't want to do this, because i don't want to loose my count of plays, my playlists, etc, btw it would take ages to import all this music again, not mentioned about albums from itunes store!
    So i found this program - SHAREPOD - it helped me to copy all this data back to the computer, I copied that to iTunes Media folder and everything is in iTunes, so that's fine (apart from couple missing album artworks). But now, when I try to sync my iPhone with iTunes, it says the same message - all your data will be repleaced with itunes library. But I don't want it to repleace that, I just want to sync iPhone with that library. I really want to keep my count of plays for every song.
    Btw. the same problem is with programs, it wants to repleace that with itunes library, I've got more than 100 apps, most of them paid and i don't want to loose them, but I want it to work exactly the same as it worked previously.
    For me it's a really huge issue, that basically sync works only one way...
    Please help.

    You will have to sync and with any luck it won't try to re-sync all your music. In iTunes if you right click on your phone under the devices list you can select "transfer purchases" and that will move all your apps to iTunes.
    TuneUp companion is a great program that runs along side of iTunes that will fix all your track info and put the album artwork in the info.

  • Graphical Mapping Problem : Create Segments by conditions

    Hi,
    i have a problem while mapping an incoming invoice to IDoc Invoic02. I had to create some Segments (E1EDP02) on basis of identifiers in the incoming message. I hope the following example i created will help to understand my exact problem:
    EXAMPLE MESSAGE:
    <invoice>
    <document>
    <position>
       <line>
         <posnum> 1 </posnum>
         <IMD>
         <ident> Name </ident>
         <val>   Maxx </val>
         </IMD>
         <IMD>
         <ident> Age </ident>
         <val>   19 </val>
         </IMD>
         <IMD>
         <ident> Gender </ident>
         <val>   Male </val>
         </IMD>
       </line>
    </position>
    <position>
       <line>
         <posnum> 2 </posnum>
         <IMD>
         <ident> Name </ident>
         <val>   Tina </val>
         </IMD>
         <IMD>
         <ident> Age </ident>
         <val>   28 </val>
         </IMD>
         <IMD>
         <ident> Gender </ident>
         <val>   Female </val>
         </IMD>
       </line>
    </position>
    </document>
    </invoice>
    THE RESULT I WANT:
    <invoic02>
    <E1EDP01>
    <SEGMENT> </SEGMENT>
    <POSEX> 1 </POSEX>
      <E1EDP02>
           <SEGMENT> </SEGMENT>
         <QUALF> 021 </QUALF>
         <BELNR> 19 </BELNR> // THIS should be the Age
      </E1EDP02>
      <E1EDP19>
      </E1EDP19>
    </E1EDP01>
    <E1EDP01>
    <SEGMENT> </SEGMENT>
      <POSEX> 2 </POSEX>
      <E1EDP02>
         <SEGMENT> </SEGMENT>
         <QUALF> 021 </QUALF>
         <BELNR> 28 </BELNR> // THIS should be the Age
      </E1EDP02>
      <E1EDP19>
      </E1EDP19>
    </E1EDP01>
    </invoic02>
    I tried to different ways to get the above result but i failed
    My first try:
    ident      -------|--- equalsS ------ createIf ---- E1EDP02
    Constant[000] --------- SEGMENT
    Constant[Age]--|
    ident      -------|--- equalsS ------ ifWithoutElse ---- QUALF
    Constant[Age]--|             |          
                           |
                        Constant[021]
    ident      -------|--- equalsS ------ ifWithoutElse ---- BELNR
    Constant[Age]--|             |          
                           |
                           val
    Result:
    The E1EDP02 Element will not created reliable. Sometimes it is there sometimes not dunno why ? Any Idea ?
    My second try:
    IMD -------------- E1EDP02
    Constant[000] --------- SEGMENT
    ident      -------|--- equalsS ------ ifWithoutElse ---- QUALF
    Constant[Age]--|             |          
                           |
                        Constant[021]
    ident      -------|--- equalsS ------ ifWithoutElse ---- BELNR
    Constant[Age]--|             |          
                           |
                           val
    Result:
    Now every E1EDP01 Element has two E1EDP02 Elements. One correct one and an empty one with only a segment element. Is there a way to delete this empty elements ?
    Any ideas to create a working mapping ?
    Thanks

    @Liang Ji 
    When i do this i have only the first E1EDP01 Element
    @Tarang Shah
    Which function i had to use for this ?
    Thank you

  • Problem with read count

    I am trying to receive 2500bytes via serial port and plot it on a chart, after sending character "S" to the sender device.
    I have set the "read count" to 2500 so it is supposed to receive 2500 byte then wait for another "S" but it just receives 101 bytes and then goes to next program iteration. how can i solve this problem?
    The VI have been attached.
    Attachments:
    2500.vi ‏21 KB

    First, you've posted to the wrong board. Your question has nothing to do with NI digital I/O devices.
    You seem to not understand how a VISA Read works. A read will terminate when the byte count is reached, or the timeout is reached, or the termination character is detected. Disable the termination character or set up multiple reads in order to get multi-line strings. For example, keep reading until the available bytes is zero. With a loop, shift register, and a concatenate string, this is easy to do.

  • Problem resetting play count

    Hi all,
    I've been trying to reset the play count of all my tracks in iTunes. I've been doing this by selecting all tracks (2,862 tracks) and then control clicking the mouse to reset the play count. It asks me whether I'm sure and then I just get the beachball until I have to Force Quit. I've tried doing this with twenty tracks and I have the same problem. Does anyone have any ideas what might be going wrong ?
    Thanks,
    Ben

    Command (Apple) key A.
    Or select the first one then Shift click the last one.

  • Problem with record count...

    Hi,
    I try to solve one problem with count. This problem occurs when I getting multi records that I need to count. Take a look on the following query:
    SELECT INVOICE,COUNT(INVOICE)
    FROM TABLE WHERE ADDR =
    (SELECT ADDR FROM TABLE
    WHERE DELEVERY = 'DD'
    GROUP BY ADDR||POSTDT
    HAVING COUNT(DISTINCT ADDR||POSTDT) > 1)
    GROUP BY INVOICE;
    I expected to see each invoice with total count invoices that have been delivered to the same address and date. But it's give me only invoice and count 1(itself), regardless if two or more invoices have been delivered to the same address and the same date. I think, that I just lost myself in this query. Hopefully I gave enough information.
    Thanks in advance,
    Alex

    Hi Alex,
    there are a couple ways to code this. Just two of them:
    SELECT t1.INVOICE,
           t2.total
    FROM   TABLE t1,
           (SELECT   ADDR,
                     POSTDT,
                     count(*) total
            FROM     TABLE t2
            WHERE    DELEVERY = 'DD'
            GROUP BY ADDR,
                     POSTDT
            HAVING   COUNT(*) > 1
    WHERE  t1.ADDR   = t2.ADDR
    AND    t1.POSTDT = t2.POSTDT
    SELECT t1.INVOICE,
           (SELECT   count(*)
            FROM     TABLE t2
            WHERE    DELEVERY = 'DD'
                     t2.ADDR   = t1.ADDR
                     t2.POSTDT = t1.POSTDT
            GROUP BY ADDR,
                     POSTDT
            HAVING   COUNT(*) > 1
           )  total
    FROM   TABLE t1

  • Problem filling segment E1BPADR1 in idoc Salesorder_createfromdat201

    Ladies and Gentlemen,
    I have a problem with populating segment E1BPADR1 in idoc SALESORDER_CREATEFROMDAT201.  My client is already using the idoc to successfully post Inbound Sales orders.  Now, they wish to add additional address data to the Sales Order Partner Address screen.  The standard partner segment (E1BPPARNR) permits them to fill some data which they are presently filling (i.e. Name 1, Street 1, Country, etc), but they have a requirement to fill additional fields Street2, Street3, etc. 
    When I add fill segment E1BPADR1, the data in segment E1BPPARNR is removed from the created Sales Order so that the data from segment E1BPPARNR is deleted, and no new data from E1BPADR1 is updated. 
    Has anyone experienced similar problems, and any ideas on how to get around it?  Points rewarded for useable suggestions.
    Regards,
    Steve

    Done

  • Segment count in EDIFACT ORDERS

    Hi,
    Can anyone advise which function to use to calculate the number of segment in the message trailer (EDIFACT D98A ORDERS UNT/0074)?
    Regards,
    Scott.

    I had to do a two activities to get the count:
    (1) Create a variable contains the list of element containing the name "Segment"
    <xsl:template match="/">
    <tns:SegmentList>
    <xsl:for-each select="//*[position() &lt;= 99]">
    <xsl:if test="contains(name(), 'Segment')">
    <tns:SegmentName>
    <xsl:value-of select="name()"/>
    </tns:SegmentName>
    </xsl:if>
    </xsl:for-each>
    </tns:SegmentList>
    </xsl:template>
    </xsl:stylesheet>
    (2) Use an assign activity to perform the countNodes
    <assign name="Assign_SegmentCount">
    <copy>
    <from expression="ora:countNodes('Variable_segmentList','/ns3:SegmentList/ns3:SegmentName')"/>
    <to variable="SendPurchaseOrder_send_InputVariable" part="body"
    query="/ns4:Transaction-ORDERS/ns4:Segment-UNT/ns4:Element-0074"/>
    </copy>
    </assign>
    Cheers,
    Scott.

  • Problem with segment segment E1EDK18

    I have a problem in while processing inbound idoc.
    I am able to post the idoc and create SO, I need to delete the segmentE1EDK18.If I dont delete, I am getting
    below error
    <b>Terms of payment in IDoc E1EDK18 have been transferred: Check data</b>
    Please help me out in posting the idoc with out removeing the segment manually.

    Cyril,
    Thanks for responding, I wanted to do with out any ABAP,
    and I have done the same.

  • PXI 6220 - problems with the counter

    Hello all,
    I try to make a buffered counting with counter0 of my PXI card 6220, to count the speed of a motor through a photocell and a sticker over the pulley of the motor.
    I have wired my photocell at PFI8 (source) and I generate a pulse train that I have wired in PFI9.
    The input to the counter is a pulse train 5V high level - 0V low level.
    The problem is that the counter counts sometimes more than 1 pulse for every turn of the motor. However, apparently the pulse train looks ok.
    I don´t know what´s the problem.
    The rising slope of the pulses looks very nice so, I think I could discard it.
    I can suppose that in between pulses there are some glitches at a very high frequency that I cannot see (I have sampled this signal only at 800kHz and at this frequency there are no glitches), but maybe the counter yes, because it works at 20MHz.
    Somebody has experienced this problem. Sometimes ago, using a PCI6221, I solved a similar problem by activating the digital filtering, but it seems it is not possible to activate for this cards. Could somebody confirm it?
    Any ideas will be appreciated.
    Thank you very much in advance!!

    Pete;
    I agree that is a little strange.We don't have such problem reported on our Data Bases.
    A workaround you can try is to make a sinle pulse goes to the source, before start the buffered acquisition, and see if that makes the counter keeps reporting a 1 value.
    You can also try the same thing on another counter of the board to see if that problem is related to the counter you are using.
    Regards
    Filipe A.
    Applications Engineer
    National Instruments

Maybe you are looking for

  • Multiple Hard Drive Failures in 2 months on 2 MacBook Pros

    I have a mid-2009 13" MacBook Pro with a 320GB hard drive. In early Feb. the hard drive failed suddenly and would no longer mount. My Apple Store replaced it and I restored from a Time Machine backup. A week later the hard drive failed again. It was

  • Upgrading from Adobe Elements 6 to 8

    I recently updated to iPhoto 9 and have ordered Adobe Elements 8. iPhoto 9 still works with Elements 6 as my external editor. Do I have to do anything special before installing Adobe Elements 8 or will iPhoto still know I want Adobe Elements as my ex

  • Capturing/RAID0 questions

    I'm just setting up a lower budgeted project that is shooting on film and is telecineing to DVCAM. I'll be cutting on a Macpro desktop and have two 1 terabyte RAID0 drives at my disposal. I'd like to utilize my MacbookPro with an identical install of

  • Adobe Reader 8.1.2 - Deutsch

    Ich habe Adobe Reader 8.1.2 - Deutsch installiert, aber alles ist auf Englisch. Das scheint von einer älteren Version zu sein. Wie kann ich jetzt wirklich alles auf Deutsch angezeigt bekommen?

  • Is custom Pod development dead?

    Anyone have an idea what the strategy for Pod development now is?  I just wrote the most basic custom Pod using the documented SDK (Flex, xml, actionscript) and discovered not just mine but none of the add-on pods work on iOS. You're limited to just