Question about Training Spamassassin

I'm preparing some instructions to my staff about training SpamAssassin, and I need a bit of help.
E-mail that is spam and not marked as "*JUNK MAIL*" should be moved to the "junkmail" folder, and mail that is marked as "*JUNK MAIL*" which is actually ham should go to the notjunkmail folder. That's clear.
Also, I know you are supposed to send e-mail Spamassassin believes is "ham" and that is "ham" to the notjunkmail folder.
So, is it also true that you should send spam e-mail that Spamassassin correctly believes is "spam" (marked as *JUNK MAIL*) to the "junkmail" folder?

So, it is also true that you should send e-mail that Spamassassin believes is
"spam" (marked as *JUNK MAIL*) to the "junkmail" folder?
If SpamAssassin properly tagged the mail, there's no need to send it to JunkMail. The system has already learned from the message.
Initially, think of JunkMail and NotJunkMail as training. You need to feed it as much spam/ham as possible to build it's base of knowledge.
After training, think of JunkMail and NotJunkmail more as correction. When SpamAssassin makes a mistake, you send the message to JunkMail/NotJunkmail and SpamAssassin will unlearn what it automatlically learned and re-learn as Junk or NotJunk.
Once the system has been trained and tuned, you should have very little of this correction.. maybe a few messages per user per day.
Jeff

Similar Messages

  • Question about training

    What training courses would you suggest that I take?  I am an ABAP programmer that is being moved to BI.  I have an old BW310 version 3.5 training manual that I have been reading.  Do you think that I need to take BW310 for 7.0?  I am wondering if it changed enough that I should take it.  We are on version 7.0 with our system.  I am looking at taking BW330 but do I really need to take 310 first?
    Davis

    It totally depends on you. In my case i have practiced BI 7.0 by myself by practicing different dataflows such as
    loading transactional and master data using flat files and from R/3.
    There are some changes between 3.5 and 7.0 but it may not be too difficult for a person who has work experince in 3.5 to learn 7.0. May be you can go through the following site and try understand the differences between both versions and always SDN is there to help you.
    http://help.sap.com/saphelp_nw04s/helpdata/en/a4/1be541f321c717e10000000a155106/frameset.htm
    But if you cant understand the main differences and couldnt create different dataflows in your system i suggest you to take 310 so that you can be strong in basics.

  • Question about training junk mail

    In Mail I've set the Junk preference as 'Move it to the Junk Mailbox', but it's missing quite a few junk mails & delivering them to my Inbox.
    So I thought I'd set it to 'Leave it on my Inbox... (Training)' & mark them manually for a while.
    I'm thinking that if I train Mail to recognise all the junk mail for a while I'll be able to switch it back to 'Move it to the Junk Mailbox' & should catch the junk mail that it previously missed.
    Am I right in thinking this?
    If so then how long (roughly) should I train junk mail for? If not any suggestions on what might be the best thing to do?
    (Would leaving the setting at 'Move it to the Junk Mailbox' but clicking the Rest button in preferences help?)

    What Dan said. The junk filter never stops learning, and learns the same regardless of the mode of operation. What trains the filter is manually marking messages as either junk or not junk when Mail fails to correctly identify them.
    The only difference between Training and Automatic modes is whether junk mail is automatically moved to a separate Junk mailbox or not. Keeping junk mail in the Inbox is called Training mode simply because nobody in his/her right mind would want to keep doing that after having trained the filter for some time. The filter could be set Automatic mode right away without that having any adverse impact on its training.

  • A question about BC 400 training program

    Dear all,
    I have a question about SAP taining program for ABAP. My manager will soon register me for the training program BC 400, which seems to be the start point for those who don't know anything about ABAP. I was looking at the course description page and I saw that the course is provided as VLC (Virtual Class). As I understood is that the student connects from his workstation to the training center, so it is distant training program.
    I think it would be more interesting for me to be directly in the classroom with teacher face-to-face.
    Therefore, my qestion is the fact that a training program is VLC, does it mean that it is no more possible to attend directly in classes ? or is just for those who may not want for some reason attend directly to the class?
    Thanks in advance,
    Dariyoosh
    Moderator message: moved to S & C.
    Edited by: Thomas Zloch on Sep 6, 2010 2:33 PM

    This is forum for general abap problems.but to answer your question
    check this link from sap site
    http://www.sap.com/usa/services/education/tabbedcourse.epx?context=[[|bc400||1|063|us|]]|
    Thanks
    Bala Duvvuri

  • Question about Tasklists

    I have a weird question about task lists.
    lets assume I created a task list for a user and enering values in to a data form is one of the task and promoting the planning unit is the next step.
    and assume the user has gone through the tasks and completed entering the values and promoted and marked the tasks as complete.
    Now, I am the reviewer and review the values and promoted it back to him to change a few values where I am not satisfied.
    How does the user knows if he has to re-enter the values again? but the tasks in that tasklist shows the tasks has been completed.
    I am missing something or assuming it wrong. Can some one give me a clear picture idea about it. Appreciate your help.
    TIA
    sai

    Hi,
    Users get notification e-mails in which they would see annotation from previous plan unit owner if there is any. They could then know that they have to re-enter/adjust/amend some of the values. As for task lists, I usually recommend to users not to use complete box as it using it leads the same confusion you fell into. Task list completion status does not certainly serve to the purpose very well, so better stay away...
    What I can tell about workflow is that with good training and sensible security configuration, workflow works almost perfectly in many places.
    Cheers,
    Alp

  • Question about elearning - OKP SAP Commercial Project Management

    Hi Gurus,
    i have a question about an e learning course which SAP offers-
    Course Name- OCPM10-
    OCPM10 - OKP SAP Commercial Project Management 1.0 | SAP Training and Certification Shop
    It's an e learning course for 20 hours, below are my questions-
    Since its an e-learning course, is it to be completed in a specified time (within 2-3 days) or we can have access to it throughout the year but duration of course is 20 hrs
    What kind of documentation is provided to me as part of this course.  

    1. C_TPLM22_05 - SAP Certified Solution Consultant PLM - Project Management with SAP ERP 2005   
    It includes SAP PLM 235 course material along with PLM 200, 210 220, 230,
    2. C_TPLM22_60 - SAP Certified Application Associate - Project Management with SAP ERP 6.0
    It is not including the PLM 235 course.
    This is the basic difference between  it.  IN Certification there are  6 -7 areas , in each of them you have to score more than 70 % , there many few multiple answer questions as well. Finally over score will come it should be higher than 70 %.  So, I ideally weight all the topics equally,
    With Regards
    Nitin P.

  • Question about how the Robocopy /B switch works...

    I've been experimenting with robocopy recently, and most switches seem self explanatory for the most part; although I have a question about the /B switch.
    The help says the following:
    /B :: copy files in Backup mode.
    I've checked multiple forums and websites to try and elaborate on this a little more, and from my understanding this switch enables backup mode that essentially gives the ability to change the acls of a file/folder when the account running robocopy does
    not have sufficient privileges, to perform a successful copy.
    My question is, when the acls are changed when using this "backup mode" switch, with the /copyall switch, will the file still retain the same user access that it originally had - or does it change all of the security settings?
    I'm hoping it will somehow change the security settings, create a copy, and retain the same acls as the original - so users can still access necessary files.
    Can someone please explain how this "backup mode" works?
    Thanks in advance.

    This is a very useful thread with a lot of hostility in it.
    I have to agree with Jonathan and hazymat: there are few other forums that will discuss the exact function of /b switch, the TechNet article just says "copies in Backup Mode" (I have the article bookmarked), and when doing a google search, THIS page comes
    up as the top result! Kinda difficult to tell people they should go search, when you are the exact destination of that search, isn't it? ;)
    No offense to anybody working hard to help out here, but this is why sites like Stack Exchange will overshadow every traditional tech forum very soon. More concise question/answer format, more civil, and they actually encourage old questions developing new
    answers! All q&a is cataloged for future reference. Their focus is on being a 'resource'.
    The negativity here is unfortunate, you guys have great potential to be a very helpful resource for people. Who are you trying to be, the guys with all the answers, or the guys who say "get out of here, your answer isn't in here. Go search for it."?
    Regardless, many thanks to jrv! for helping me with my robocopy batch SCRIPT which I just used to create an automated backup! Take care boys. And yes, I just bumped your old-old thread.
    Unfortunately I think you miss the point.  RoboCopy is an application.  It is not a script.  This is a scripting forum.  Many people come here nd never read the forum guidelines and do not ask script related questions.
    The /b switch is documented in RoboCopy help.  Of course it assumes the reader is a trained Windows tech and knows what backup mode is.  It is used by nearly all backup software when backing up a live system..
    ¯\_(ツ)_/¯

  • A question about Oracle 1g R2 Enterprise Edition license

    Dear all,
    I have a question about Oracle license and I would appreciate if you could kindly help me.
    I have installed an Oracle server (11g R2 Enterprise edition) on a linux virtual machine. The pc used for this purpose
    is my enterprise laptop (therefore a professional and not a personal Computer). However, I use the this Oracle Server
    only for learning purpose, that is, only for running the examples in my Oracle Press books (SQL, PL/SQL and some
    administration tasks) just for learning the stuff.
    There is absolutely no data related to my enterprise, stored or used on this server. Whenever I want to work with my
    enterprise data, I use the Oracle server of the enterprise which has of course the appropriate license. So as I said, I
    use this Enterprise server on the virtual machine only for running queries/programs in my Oracle Press books just for
    learning and I'm the sole person who uses this server (no remote connection for other users).
    The reason for which I needed to install this server on my PC was that our DBA couldn't provide a training environment
    for me with all required privileges and functionalities.
    Am I supposed to buy a license for this installed Enterprise server on my virtual machine?
    Thanks in advance,
    Dariyoosh

    drop.any wrote:
    From OTN license agreement:
    >
    LICENSE RIGHTS
    We grant you a nonexclusive, nontransferable limited license to use the programs only for the purpose of developing, testing, prototyping and demonstrating your application, and not for any other purpose. If you use the application you develop under this license for any internal data processing or for any commercial or production purposes, or you want to use the programs for any purpose other than as permitted under this agreement, you must obtain a production release version of the program by contacting us or an Oracle reseller to obtain the appropriate license. You acknowledge that we may not produce a production release version of the program and any development efforts undertaken by you are at your own risk. We may audit your use of the programs. Program documentation, if available, may accessed online at http://otn.oracle.com/docs.
    Ownership and Restrictions We retain all ownership and intellectual property rights in the programs. The programs may be installed on one computer only, and used by one person in the operating environment identified by us. You may make one copy of the programs for backup purposes.
    You may not:
    - use the programs for your own internal data processing or for any commercial or production purposes, or use the programs for any purpose except the development of your application;
    - use the application you develop with the programs for any internal data processing or commercial or production purposes without securing an appropriate license from us;
    - continue to develop your application after you have used it for any internal data processing, commercial or production purpose without securing an appropriate license from us, or an Oracle reseller;
    - remove or modify any program markings or any notice of our proprietary rights;
    - make the programs available in any manner to any third party;
    - use the programs to provide third party training;
    - assign this agreement or give or transfer the programs or an interest in them to another individual or entity; - cause or permit reverse engineering (unless required by law for interoperability), disassembly or decompilation of the programs;
    - disclose results of any program benchmark tests without our prior consent.
    >Hello again,
    Thank you both of you for your help. As it seems to me
    >
    We grant you a nonexclusive, nontransferable limited license to use the programs only for the purpose of developing, testing, prototyping and demonstrating your application, and not for any other purpose.
    >
    I think self training can be considered in this category, therefore I think there is no need to buy a license for that.
    Kind regards,
    Dariyoosh

  • Question about slide transition

    Hi there,
    I've got a question about slide transition in Captivate 6.0.
    By default the slide transition is define with 3 sec. I would like to have transition done manualy, with the navigation, but not after a certain time.
    Is it possible ? For now I've insert a next button. But I would prefer to do this via the navigation.
    Thanks for your help.

    Hi there
    Presently the ONLY way to accomplish it is by having an object on each slide that causes the slide to pause. Buttons, Click Boxes, Text Entry Boxes and some of the Widgets cause this behavior.
    If you feel it should operate differently, please consider submitting a Wish Form to ask for the capability to be added to a future version. (Link to the Wish Form is in my sig)
    Cheers... Rick
    Helpful and Handy Links
    Captivate Wish Form/Bug Reporting Form
    Adobe Certified Captivate Training
    SorcerStone Blog
    Captivate eBooks

  • Is Dreamweaver right for me? (also Question about Adobe courses)

    Hi!
    I'm a grade five student,
    who is wanting to learn about
    web design and animations.
    I already have Photoshop cs5
    but i want to learn about web design.
    Dreamweaver is the correct program right?
    I also have a question about Adobe Courses (Online)
    Will they go through, and show you how to set up websites
    with dreamweaver... Templates, etc. stuff like that?
    All comments appreciates.
    Thanks
    CG

    I am hardy the most qualified to answer this, but I'll have a go at it. First of all, I admire your ambition!
    Dreamweaver is a great tool, but it will not necessarily teach you web design. Once you have some basic idea of what goes into a web design, you will realy appreciate what DreamWeaver can do for you. I am going to pass on to you the same advice given to me when I first wanted to get into DreamWeaver and web design.
    I would realy suggest some basic HTML and CSS books and use a text editor to create your first pages. Once you start to undersatnd what you are doing, then by all means, get some DreamWeaver training. Everyone has their own favorite titles to learn the basics from, so I'll just say these are a suggestion and helped me get started. The Head First series was clearly written, has a good sense of humor and helped me get the basics. Check your Libraries, second hand book stores, or other resale outlets. I know you mentioned the Adobe Online, but I've also used, and like, the Adobe Classroom in a Book series. Once I learned a particular version, I found the Missing Manual series good for advanced questions and going to a new version.
    Don't get discouraged, stay with it and don't be afarid to try another book if you don't like the ones I've suggested. We all learn different ways and what worked for me may not work for you!

  • A question about Xapp524 written by Marc Defossez

    Hi Marc Defossez,
    I have a question about Xapp524. Pls check the figure below. I find the frame pattern should be "00001111". But in the source code AdcFrame.vhd, I find the frame pattern is IntPatternA, IntPatternB, IntPatternC or IntPatternD which donot include "00001111". I cannot understand why. Could you explain it to more detail?
    Thanks
     Rgds
    Orange

    Hi, Thanks for your reply. I don't know what version  it  is. But in the xapp524 “readme.txt” is found
    1. REVISION HISTORY
    Readme
    Date                      Version                            Revision Description
    =========================================================================
    19/08/2012              1.0                                Initial Xilinx release.
    =========================================================================
    So i guess the version may be 1.0.
    The code makes me confused is in the AdcFrame.vhd.   
    -- A std_logic_vector is converted to a string.
    function stdlvec_to_str(inp: std_logic_vector) return string is
    variable temp: string(inp'left+1 downto 1) := (others => 'X');
    begin
        for i in inp'reverse_range loop
            if (inp(i) = '1') then
                temp(i+1) := '1';
            elsif (inp(i) = '0') then
                temp(i+1) := '0';
            end if;
       end loop;
    return temp;
    end function stdlvec_to_str;
    -- A string is converted to a std_logic_vector.
    function str_to_stdlvec(Inp: string) return std_logic_vector is
    variable Temp : std_logic_vector(Inp'range) := (others => 'X');
    begin
        for i in Inp'range loop
            if (Inp(i) = '1') then
               Temp(i) := '1';
            elsif (Inp(i) = '0') then
                Temp(i) := '0';
            end if;
       end loop;
    return Temp;
    end function str_to_stdlvec;
    -- In two wire mode a 12 bit ADC has 2 channels of 6 bits. The AdcBits stay at 12.
    -- In two wire mode a 14 bit ADC has 2 channels of 8 bits. The AdcBits is set at 16.
    -- In two wire mode a 16 bit ADC has 2 channels of 8 bits. The AdcBits stay at 16.
    function FrmBits (Bits : integer) return integer is
    variable Temp : integer;
    begin
    if (Bits = 12) then
    Temp := 12;
    elsif (Bits = 14) then
    Temp := 16;
    elsif (Bits = 16) then
    Temp := 16;
    end if;
    return Temp;
    end function FrmBits;
    -- Word symmetry check
    -- A word (16-bit) is checked for bit pair symmetry
    -- Example: In one byte there are 16 possible symmetry positions.
    -- 00000000, 00000011, 00001100, 00001111,
    -- 00110000, 00110011, 00111100, 00111111,
    -- 11000000, 11000011, 11001100, 11001111,
    -- 11110000, 11110011, 11111100, 11111111,
    -- Bit_7=Bit_6, Bit_5=Bit_4, Bit_3=Bit_2, and Bit_1=Bit_0
    function SymChck (Inp: std_logic_vector) return std_logic is
    variable Temp : std_logic_vector ((Inp'left-1)/2 downto 0) := (others => '0');
    variable Sym : std_logic := '0';
    begin
    for n in (Inp'left-1)/2 downto 0 loop
    Temp(n) := Inp((n*2)+1) xor Inp(n*2);
    Sym := Temp(n) or Sym;
    end loop;
    assert false
    report CR & " Pattern XORed/ORed = " & stdlvec_to_str(Temp) & CR
    severity note;
    return Sym;
    end function SymChck;
    -- When a symmetric byte, bit pattern is found, make the requested pattern rotate
    -- by one bit to become a non-symmetric pattern.
    function BitShft(Inp: std_logic_vector; Wire: integer) return std_logic_vector is
    variable Temp : std_logic_vector (Inp'range):= (others => '0');
    begin
    -- Bit shift all bits.
    -- Example: 16-bit frame word = 11111111_00000000 or 00000000_11110000
    -- After shifting the word returned looks as: 11111110_00000001 and 00000000_01111000
    if (SymChck(Inp) = '0') then
    if (Wire = 1 ) then -- 1-wire, shift 15-bits
    for n in Inp'left downto 0 loop
    if (n /= 0) then
    Temp(n) := Inp(n-1);
    elsif (n = 0) then
    Temp(Temp'right) := Inp(Inp'left);
    end if;
    end loop;
    else -- (Wire = 2) -- 2-wire, shift 8-bits
    for n in (Inp'left-8) downto 0 loop
    if (n /= 0) then
    Temp(n) := Inp(n-1);
    elsif (n = 0) then
    Temp(Temp'right) := Inp(Inp'left-8);
    end if;
    end loop;
    end if;
    elsif (SymChck(Inp) = '1') then
    -- Don't do anything, return the word as it came in.
    Temp := Inp;
    end if;
    assert false
    report CR &
    " Pattern Shifted = " & stdlvec_to_str(Temp) & CR &
    " Comparator Value A = " & stdlvec_to_str(Temp(15 downto 8)) & CR &
    " Comparator Value B = " & stdlvec_to_str(Temp(7 downto 0)) & CR
    severity note;
    return Temp;
    end function BitShft;
    -- Bit swap operation:
    -- Bit n of the output string gets bit n-1 of the input. ex: out(7) <= In(6).
    -- Bit n-1 of the output string gets bit n of the input. ex: out(6) <= In(7).
    -- Bit n-2 of the output string gets bit n-3 of the input. ex: out(5) <= In(4).
    -- Bit n-3 of the output string gets bit n-2 of the input. ex: out(4) <= In(5).
    -- and etcetera....
    -- This: Bit_7, Bit_6, Bit_5, Bit_4, Bit_3, Bit_2, Bit_1, Bit_0.
    -- Results in: Bit_6, Bit_7, Bit-$, Bit_5, Bit_2, Bit_3, Bit_0, Bit_1.
    function BitSwap(Inp: std_logic_vector) return std_logic_vector is
    variable Temp : std_logic_vector (Inp'range);
    begin
    for n in (Inp'left-1)/2 downto 0 loop
    Temp((n*2)+1) := Inp(n*2);
    Temp(n*2) := Inp((n*2)+1);
    end loop;
    assert false
    report CR &
    " Pattern Bit Swapped = " & stdlvec_to_str(Temp) & CR &
    " Comparator Value C = " & stdlvec_to_str(Temp(15 downto 8)) & CR &
    " Comparator Value D = " & stdlvec_to_str(Temp(7 downto 0)) & CR
    severity note;
    return Temp;
    end function BitSwap;
    function TermOrNot (Term : integer) return boolean is
    begin
    if (Term = 0) then
    return FALSE;
    else
    return TRUE;
    end if;
    end TermOrNot;
    -- Constants
    -- Transform the pattern STRING into a std_logic_vector.
    constant IntPattern :
    std_logic_vector(FrmBits(C_AdcBits)-1 downto 0) := str_to_stdlvec(C_FrmPattern);
    -- Shift the pattern for one bit.
    constant IntPatternBitShifted :
    std_logic_vector(FrmBits(C_AdcBits)-1 downto 0) := BitShft(IntPattern, C_AdcWireInt);
    -- Bit swap the by one bit shifted pattern.
    constant IntPatternBitSwapped :
    std_logic_vector(FrmBits(C_AdcBits)-1 downto 0) := BitSwap(IntPatternBitShifted);
    -- Define the bytes for pattern comparison.
    constant IntPatternA : std_logic_vector((FrmBits(C_AdcBits)/2)-1 downto 0) :=
        IntPatternBitShifted(FrmBits(C_AdcBits)-1 downto FrmBits(C_AdcBits)/2);
    constant IntPatternB : std_logic_vector((FrmBits(C_AdcBits)/2)-1 downto 0) :=
        IntPatternBitShifted((FrmBits(C_AdcBits)/2)-1 downto 0);
    constant IntPatternC : std_logic_vector((FrmBits(C_AdcBits)/2)-1 downto 0) :=
        IntPatternBitSwapped(FrmBits(C_AdcBits)-1 downto FrmBits(C_AdcBits)/2);
    constant IntPatternD : std_logic_vector((FrmBits(C_AdcBits)/2)-1 downto 0) :=
        IntPatternBitSwapped((FrmBits(C_AdcBits)/2)-1 downto 0);
    The intPatternA, intPatternB, intPatternC and intPatternD are used as a trainning pattern for the frameclock. As I think, the training pattern should be C_FrmPattern(C_FrmPattern is "11110000"  when  adcbits  is 16 and the wire is 2). Why do you use intPatternA to intPatternD as the training pattern? 
     The AdcFrame.vhd is attached.
     

  • Questions about entering OCP

    I have a few questions about OCP:
    1) Why certified? Yes I read the answer in the FAQ in the forum, but it still doesn't convince me, what does it give to me? Does it qualify as an academic degree?
    2)Ok, I decided to try and do it, now what? How can I know if there is such a thing in my country? What about books, tests and courses?
    3)How many levels are there? I saw a few, from Professional to Master, what do those titles mean?
    4)Do the test cost a lot of money? Because I have financial issues and might not be able to come up with a large sum of money.
    Thanks in advance.

    982301 wrote:
    I have a few questions about OCP:
    1) Why certified? Yes I read the answer in the FAQ in the forum, but it still doesn't convince me, what does it give to me? Does it qualify as an academic degree?
    2)Ok, I decided to try and do it, now what? How can I know if there is such a thing in my country? What about books, tests and courses?
    3)How many levels are there? I saw a few, from Professional to Master, what do those titles mean?
    4)Do the test cost a lot of money? Because I have financial issues and might not be able to come up with a large sum of money.
    Thanks in advance.Matthew's covered pretty much everything. And no-ones focring you to do it.
    In general, (and there are exceptions) OCA is a pre-requisite to OCP on the same track. Often (but not always) you will need to get to OCP level rather than OCA to be properly recognised.
    OCM is a very high level and you dont really need to worry abut it initially.
    OCS is normally associated with the Oracle Partnership program(OPN), but its specialist certifications can sometimes be applicable to those outside of it.
    OCE is an expert in a particular area not directly associated with a track.
    'OCP' covers a wide range of certifications and its interesting to focus on the ones that apply to yourself. So a better question to ask is which certifications in particular apply to me, and ideally have some correlation with current experience and where you intend your career to go.
    Doing the certificaiton will give you a resource cost in terms of training and taking the exam. You should identify that cost prior to emarking on study.
    In general OCA level does not require mandatory training. Usually OCP level and sometimes OCE does require mandatory training course attendance and verification and you need to factor that into account.
    Of note is that PL/SQL OCP; MYSQLDBA OCP and Java SE OCP do not currently require mandatory training AFAIK; whereas DBA OCP (which often people think as the default OCP) does requre mandatory training. Unusually for OCP the DBA OCP training can sometimes be obtainined via the WDP program for significantly less cost ( I will not vouch for quality of all WDP providers). Got to http://certification.oracle.com and identify and cost.

  • Questions about your new HP Products? HP Expert Day: January 14th, 2015

    Thank you for coming to Expert Day! The event has now concluded.
    To find out about future events, please visit this page.
    On behalf of the Experts, I would like to thank you for coming to the Forum to connect with us.  We hope you will return to the boards to share your experiences, both good and bad.
     We will be holding more of these Expert Days on different topics in the months to come.  We hope to see you then!
     If you still have questions to ask, feel free to post them on the Forum – we always have experts online to help you out.
    So, what is HP Expert Day?
    Expert Day is an online event when HP employees join our Support Forums to answer questions about your HP products. And it’s FREE.
    Ok, how do I get started?
    It’s easy. Come out to the HP Support Forums, post your question, and wait for a response! We’ll have experts online covering our Notebook boards, Desktop boards, Tablet boards, and Printer and all-in-one boards.
    We’ll also be covering the commercial products on the HP Enterprise Business Community. We’ll have experts online covering select boards on the Printing and Digital Imaging and Desktops and Workstations categories.
    What if I need more information?
    For more information and a complete schedule of previous events, check out this post on the forums.
    Is Expert Day an English-only event?
    No. This time we’ll have experts and volunteers online across the globe, answering questions on the English, Simplified Chinese, and Korean forums. Here’s the information:
    Enterprise Business Forum: January 14th 7:00am to 12:00pm and 6:00pm to 11:00pm Pacific Time
    Korean Forum: January 15th 10am to 6pm Korea Time
    Simplified Chinese Forum: January 15th 10am to 6pm China Time
    Looking forward to seeing you on January 14th!
    I am an HP employee.

    My HP, purchased in June 2012, died on Saturday.  I was working in recently installed Photoshop, walked away from my computer to answer the phone and when I came back the screen was blank.  When I turned it on, I got a Windows Error Recovery message.  The computer was locked and wouldn't let me move the arrow keys up or down and hitting f8 didn't do anything. 
    I'm not happy with HP.  Any suggestions?

  • Have questions about your Creative Cloud or Subscription Membership?

    You can find answers to several questions regarding membership to our subscription services.  Please see Membership troubleshooting | Creative Cloud - http://helpx.adobe.com/x-productkb/policy-pricing/membership-subscription-troubleshooting- creative-cloud.html for additional information.  You can find information on such topics as:
    I need help completeing my new purchase or upgrade.
    I want to change the credit card on my account.
    I have a question about my membership price or statement charges.
    I want to change my membership: upgrade, renew, or restart.
    I want to cancel my membership.
    How do I access my account information or change update notifications?

    Branching to new discussion.
    Christym16625842 you are welcome to utilize the process listed in Creative Cloud Help | Install, update, or uninstall apps to install and evaluate the applications included with a Creative Cloud Membership.  The software is fully supported on recent Mac computers.  You can find the system requirements for the Creative Cloud at System requirements | Creative Cloud.

  • Questions about using the Voice Memos app

    I'm currently an Android user, but will be getting an iPhone 6 soon. My most used app is the voice memos app on my Android phone. I have a couple questions about the iPhone's built-in voice memos app.
    -Am I able to transfer my voice memos from my Android phone to my iPhone, so my recordings from my Android app will show up in the iPhone's voice memos app?
    -When exporting voice memos from the iPhone to computer, are recordings in MP3 format? If not, what format are they in?
    -In your opinion, how is the recording quality of the voice memos app?

    You cannot import your Android voice memos to your iPhone's voice memo app.  You might be able to play the Android memos and have the iPhone pick up the audio and record it.
    Here is the writeup about sending voice memos from the iPhone to your computer (from the iPhone User Guide):
    App quality is excellent.

Maybe you are looking for

  • Won't play all of itunes music and won't sync books at all

    Am having all kinds of problems after updating to the newest software on 3gs.  All of my music shows up on the phone, but only a few songs will play.  Books will not show up at all.  Tried resyncing both music and books and it will not.  Syncs contac

  • Spatial query on join view in another schema

    Background: I have a schema with tables containing four spatial columns per table. I have a second schema that contains join views of the tables in the first schema. The join view consists of the attributes and any other tabular data that is joined v

  • What is "This page requires AC_RunActiveContent.js."

    I have gone through many of the posted fixes like reloads, resets, opening in safe mode, removing all cookies for this site, etc. and nothing is working to stop this. The main page of the website doesn't load all the links or linked pages. Is the pro

  • Error while building squid

    Trying to build squid whith squid_ldap and squid_kerb_auth. Here my PKGBUILD: pkgname=squid pkgver=2.7.STABLE9 pkgrel=1 pkgdesc="A full-featured Web proxy cache server." arch=(i686 x86_64) url="http://www.squid-cache.org" depends=('openssl' 'pam' 'cr

  • Remove _adf.ctrl-state param in url

    I using Jdeveloper 11.1.1.1.0 for create jspx page, when run jspx page then url is http://127.0.0.1:7101/appName/faces/Page1.jspx?_adf.ctrl-state=xxxx I don't want _adf.ctrl-state=xxxx in url Please help me. Thanks