Regarding Clocking wizard and Simulation clock generation - document and their uses VIVADO IPI

While working with Vivado IPI , I came across two different IPs, one is simulation clock and the other is clocking wizard IP.
But got error while generating wrapper of these IPs with the steps I did , I am unable to instantiate the IP of simulation clock generation version 1 of 2014.4.1 vivado. And get error "Clk gen" not found.
So, can you please just give me correct direction whether these can be used  together, that is input of clocking wizard IP is simulation clock generation IP ( please correct if i am wrong) or I need to make external port in clocking wizard and assign Y9 pin of zedboard to that.
In brief, I want to know the uses of these IPs w.r.t to some sequential design , please just elaborate theoretical example even.
 

 What do you want to do? Simulation only CLOCK Generator is only for simulation purpose.
Can you please just give me correct direction whether these can be used  together, that is input of clocking wizard IP is simulation clock generation IP 
--> No
I need to make external port in clocking wizard and assign Y9 pin of zedboard to that
--> Yes, Use the cloking Wizard 
 

Similar Messages

  • I am not able to use Firefox with Sharepoint - I can only open a file in read-only mode and cannot checkout the document and edit.

    I would like to use Firefox with Sharepoint.
    However, I am only able to open a file in "read only" mode whch means I cannot edit the document and save it. I am also not able to "check-out" a document in Sharepoint and edit it at the same time.

    This may not work for everyone, but I solved this problem by clicking on the file name in sharepoint, not the document icon to the left of the name. This then brought up the various options, including checking out, editing, version history etc. It seems obvious but I have only just realised this!

  • How do I create and download an XML document and insert this into a BLOB

    I need to create an XMLDOM document and download this onto a users PC. Any ideas about how to do this?
    I would prefer to first insert this into a Table (into a BLOB) to allow users to download it later.
    I can create an XMLDOM document, I can upload a file into wwv_flow_files and then download this later.
    Any suggestion would be appreciated.

    Douglas,
    did you manage to solve this, I am trying to upload an xml file from a page item into the database using a custom pl/sql insert but it won't insert.
    Andrew

  • I have a new 110D printer and I want to print a small thing and it prints new document and page 1 on

    it will not print just pics I other stuff printed what do I do
    i

    Hi there,
    Could you provide the community with a little more information to help narrow troubleshooting? What operating system and what program are we printing from?
    You can say thanks by clicking the Kudos Star in my post. If my post resolves your problem, please mark it as Accepted Solution so others can benefit too.

  • BSP for Creation and change and display of the documents in SAP R/3.

    Dear All,
    In SAP R/3 system, the documents can be maintained using the T-Codes CV01N, CV02N, CV03N for creation, change and display of the documents. Users is allowed to access to these transaction using the BSP application. The BSP page would be used to enable access the T-Code CV01N, CV02N, CV03N and allow uses to carryout the functionalities of check in and checkout of the document and the object links.
    do i need to replicate all these T-Codes in BSP application?
    If anybody hv done this...pl suggest me and give me the code.
    Thanks & Regards
    Pradeep
    Message was edited by:
            Pradeep Reddy

    if you want this out of BSP, yes you have to code all of them there is not easy way.
    but if the idea is to webenable these transaction and you dont have much time/resource to do it , easiest way is to go with ITS. downside of this is that you dont have much control over look and feel.
    If you are on WAS6.40 or above your application server comes with integrated ITS and enabling the same is quiet simple.
    Regards
    Raja

  • Error when after creating My Documents and shared repositories

    Hi all,
    after setting up a fresh SAP Mobile Documents installation on NW 7.4 and creating the My Documents and shared repositories we see the message "repository not available" when logging in to the MCM User web interface. The NWA log shows the following errors:
    Connection failed: Cannot access http://localhost:50000/cmis/json: Connection refused: connect
    org.apache.chemistry.opencmis.commons.exceptions.CmisConnectionException: Cannot access http://localhost:50000/cmis/json: Connection refused: connect
    Creating sharing home folder for user svcmcm failed: org.apache.chemistry.opencmis.commons.exceptions.CmisRuntimeException: org.apache.chemistry.opencmis.commons.exceptions.CmisConnectionException: Cannot access http://localhost:50000/cmis/json: Connection refused: connect
    Testing the connection to http://localhost:50000/cmis/json in the destination sometimes yields a negative initial result, followed by consistently positive results.
    Do you have any ideas or suggestions?
    Regards
    Daniel

    Hi Alex,
    thanks a lot; we are now able to connect and access Windows network shares after assigning the Content Admin Role to the MCM Users Group. We now however have the issue that our AD users can access all of the share's folders that the service user (assigned to the file system repository) has rights to. How can we enforce the individual user rights from the AD?
    Regards
    Daniel
    P.S.: We are trying to use assertion tickets in this approach.
    P.P.S.: We followed the preparatory steps under Integrating Documents from a Windows System into KM - Knowledge Management - SAP Library
    Message was edited by: Daniel Hügelmann

  • How do you get documents (and other links) to open in Safari?

    I have a website that I use for school and there are course documents and such that I would like to open right in the Safari window, but Safari always downloads them. I know that they can be opened in the same window, but I can't figure out how to get Safari to do it.

    Most modern Web browsers can only "open" a few file extensions. Some are .html, .htm, .asp, .php and .txt.
    Most software create file extensions at saving. Some users choose to "hide" the file extension from the file name and rely on "icons" to decide which app will open them.
    So. What does a person have to do to get the browser to open the file?
    Example: Microsoft Word creates .doc file extension files and they will always be downloaded in a browser.
    TextEdit can create .rtf (Rich Text Format) or .txt (Text) file formats. The .rtf will download but a .txt file can be displayed by a browser.
    Microsoft Word can also save a file as .html and it will be viewable in a browser (as long as all the support and image files are inside the same folder).
    What is the application that makes the files? What save as (export) options does it allow?

  • Missing iviews for Personnel Cost Planning and Simulation

    Hi,  We are implementing "Personnel Cost Planning and Simulation" in R/3 version 5(mySAP ERP). At present we have the following Business packs in our Enterprise Portal Server. 60.1.5 and 60.1.1(mySAP ERP 2004). We uploaded both the BP's in our test environment to make sure we get all the iveiws that we need.
    SAP presentation has all the iviews, But we are unable to locate/identify under MSS the iviews for "Web Based Detail Planning for Line Managers",  "Detail Planning:  Detail Costs per Cost Object" and so forth for Personnel Cost Planning and Simulation component.
    We researched other BP's such as 50.4.5 and 50.3.9 but in vain.
    We truly appreciate if some can guide us to get these iviews.

    Finally I got the answer and thought I can share this with you all.....
    For Personnel Cost Planning and Simulation, SAP has not delivered mss iViews, but SAP has realized the detail planning pages for the line manager and the personnel cost planner by using the Business Server Page (BSP) "hrhcp_planning".
    You can find the description of it's usage in the online documentation under the following path:
    Human Resources>Personnel Management>Personnel Cost Planning and Simulation-->Personnel Cost Planning and Simulation Flow -->Creating Personnel Cost Plans
    --> Providing Detail Planning for Line Managers
    --> Detail Planning by Line Managers
    --> Detail Planning by the Personnel Cost Planner
    If you wish to use the detail planning page in an mss environment, you can integrate the BSP as an external service into the manager's portal. Otherwise, you can also use it, by providing the URL to the managers.

  • Ihave over 7gb of saved text messages that i cant delete, ive deleted all my texts and it still says that. ive read that backing up with icloud and turning off documents and data would eliminate this issue... well how...?

    icloud will not allow me to backup my phone because of the 7gb of data its too much for the 5gb free space on icloud and i have the documents and data turned off in the icloud settings so i dont understand whats taking up all the space? the only thing i have on the settings is my photos and thats only 4gb. SOMEONE HELP ME!!!

    I have this identical problem.  For a while my group texts didnt show up on my ipad.  Then one day they did, maybe everyone in the group started using the same os version or something.  Ever since my first reply to the group there have been complaints of multiple threads.  I can not find a pattern for when my group text's decide they want to create a new thread. (it doesnt happen every time)  Everyone in the group has deleted the thread, we've all toggled imessage on/off etc.  There still hasn't been a solution.
    Any help would be appreciated.
    Thanks

  • Fontproblems and fontcaches in Illustrator CS4 and CS5

    Hello all,
    I've been having a serious and severe problem using Ilustrator and fonts.
    As this is a very complex problem to explain, I'll try to make it easy to understand.
    First I'll tell you the specifications and software used:
    Imac 27 inch running  MAC OS X 10.6.7 with follow software:
    Illustrator CS4 + Illustrator CS5, both installed
    Fontreserve (running a central server and macs connect through a MAC-client) http://www.extensis.com/en/support/font-reserve.jsp
    So we use an external third party fontsoftware to manage and activate our fonts used in Illustrator.
    We only have the required system fonts installed on our systems.
    We recently ran in a big issue concerning fonts within Illustrator.
    I'll try to explain what the problem really is ...
    So normally we boot up Illustrator and we activate our fonts in fontreserve.
    The fonts get loaded in Illustrator. So that does work like it should.
    But the problem begins when we deactivate fonts.
    Font reserve does deactivate them and in Illustrator the deactivated fonts are gone or so it seems ...
    After a restart, I only start Illustrator. So our client fontreserve stays closed.
    I opened the job I worked on before the restart and Illustrator opens the document and loads the fonts.
    You read it right, Illustrator does find all the fonts which, before the restart, were deactivated.
    Where does he gets those fonts?
    So I started to investigate and tried a couple of things.
    1) I physically moved the fontreserve-plugin out Illustrator so it will not be loaded on startup.
    That didn't fix it because Illustrator still finds the fonts.
    2) I physically plugged out the network cable to be sure Illustrator could not contact our fontserver.
    Sadly he still did find the fonts.
    3) I used "Tweak Freak" to clear my fontcaches. I also searched for "AdobeFnt" to clean out the cache from Adobe itself.
    This did not work either.
    4) I tried opening the document again but this time in CS5. And guess what? Illustrator CS5 asks for all the fonts that are missing, which is correct because not one font has been activated.
    Strangly enough I decided to reopen Illustrator CS4 (Illustrator CS5 still beiing open as well), and suddenly Illustrator CS4 asks for the fonts too?
    A minute ago he still found all the fonts and after opening Illustrator CS5, it does not ...
    So Adobe what is the connection between Illustrator CS4 and CS5?
    And where does Illustrator store its fontcache?
    It would be nice to run a script on shutdown te delete all possible fontcaches.
    So after spending a couple of hours fixing this problem, it seems that I still don't quite understand where the problem lies.
    I'm hoping you can.
    As this is an urgent matter, I hope to get an answer or support as soon as possible.
    Thanks in advance.

    You should be able to see what files Adobe Illustrator has open by using the Activity Monitor.app application.
    To do so, open the Activity Monitor application (it's in the /Applications/Utilities/ folder). Locate Adobe Illustrator in the list and then double-click on it. This should open up a new window like shown in the image below:
    Switch to the Open Files and Ports tab, and it should list every open file that Illustrator is using.

  • When I change the time zone of the clock, the "Date created" time information for my documents and image files in the Finder window (and in Get Info) is changed. Can I make the time info in "Date created" remain fixed regardless of the clock's timezone?

    When I change the time zone of the clock, the "Date created" time information for my documents and image files in the Finder window (and in Get Info) is changed. Can I make the time info in "Date created" remain fixed regardless of the clock's timezone?

    When I change the time zone of the clock, the "Date created" time information for my documents and image files in the Finder window (and in Get Info) is changed. Can I make the time info in "Date created" remain fixed regardless of the clock's timezone?

  • Is the PXIe-PCIe8361 adequate for this system? And external clock questions...

    Hi all,
    I have spent some time piecing together a system and I'd like a sanity check before pulling the trigger on this purchase.  The system will contain the following hardware:
    1. Chassis: PXIe-1078
    2. Controller: PXIe-PCIe8361
    3. 3 x PXIe-6363 (16 analog inputs each card, 32 digital inputs each card, all internally clocked @ 10kHz)
    4. 2 x PXI-6224 (32 digital inputs on one, 8 digital inputs on the other, externally clocked in "bursts" of 62.5khz)
    5. Labview software
    The three PXI-6363 cards will be responsible for  a mix of analog and digital measurements made @ 10 kHz, timed continuously by the onboard clock.
    One PXI-6224 will be clocked externally @ 62.5 kHz and will be used to collect digital data on a 32-bit port.  These clock pulses will not be continuous, but will occur in bursts lasting for 2ms every 20ms.
    The other PXI-6224 will be clocked externally @ 62.5kHz as well and will be used to collect digital data on an 8-bit port. These clock pulses will not be continuous, but will occur in bursts lasting for 2 ms at random intervals.
    My questions are:
    1. Am I planning anything that looks unreasonable for this hardware?
    2.  Should I expect issues with data transfer rates with the PXIe-PCIe8361?  I will be operating well within the advertised 110MB/s throughput of the device.  I plan to stream this method... NI Fast TDMS data streaming
    3.  I have only ever used NI cards for continuous measurements made by an onboard clock.  When I set up a task to collect data that is externally-timed, will the DAQ be expecting a "continuous" clock pulse, or will the system wait patiently for clock pulses to arrive at any rate (any rate within the spec of the card, of course)?
    Thanks, any input is appreciated.

    Hello LucasH0011
    1-As long as you put the PXI-6224  and the PXIe-6363 cards in the corresponding slots, meaning the express(PXIe-6363) in the express and the hybrid(PXI-6224) in the hybrid.
    2-I think you would  not have issues with the transfer rate.
    3-Your timing specifications sound reasonable to me, I think you will be fine. 
    Here is a document that has useful concepts for the use of cards:
    http://www.ni.com/white-paper/3615/en/
    It is for the M-Series, but the concepts apply to the X-Series as well. 
    Regards 
    Ernesto

  • Can't simulate Clocking Wizard in ISE 14.7

    Hi!
    I have developed a simple design for a VGA module where I need to produce a 40Mhz clock out of 100Mhz input for an Artix-7 (XC7A100T-CSG324) on an Digilent Nexys4 evaluation board. In order to aquire this clock I have used a Clock Wizard for a DCM. I have synthesise the desing, upload the bit file and everything works fine, as expected on the board.
    I would like to extend the design and add more functionality. I order to achieve this, I need a test bench in parallel that will help me to continue the work. I have started by implementing the simplest test bech just to make sure it works. iSim doesn't want to start and the errors suggests that it doesn't see all the ports of the DCM:
    ERROR:HDLCompiler:25 - "C:/Users/Popa/Desktop/FPGA/NEXYS4/DESIGNS/VGA/VGA_top.v" Line 131: Module <DCM_default> does not have a port named <CLK_IN1>.
    ERROR:HDLCompiler:25 - "C:/Users/Popa/Desktop/FPGA/NEXYS4/DESIGNS/VGA/VGA_top.v" Line 133: Module <DCM_default> does not have a port named <CLK_OUT1>.
    ERROR:HDLCompiler:25 - "C:/Users/Popa/Desktop/FPGA/NEXYS4/DESIGNS/VGA/VGA_top.v" Line 134: Module <DCM_default> does not have a port named <CLK_OUT2>.
    ERROR:HDLCompiler:25 - "C:/Users/Popa/Desktop/FPGA/NEXYS4/DESIGNS/VGA/VGA_top.v" Line 136: Module <DCM_default> does not have a port named <RESET>.
    ERROR:Simulator:778 - Static elaboration of top level Verilog design unit(s) in library work failed
     I don't understand what I'm doing wrong...the same code synthesize and produce succesfully the bit file and everything works fine but I can't simulate it. I'm using ISE WebPACK Design 14.7 (P.20131013).
    My test bench:
    module VGA_top_tb(
    reg clk = 1'b0;
    reg reset = 1'b0;
    initial begin
    #5 reset = 1;
    #30 reset = 0;
    end
    always begin
    #5 clk = !clk;
    end
    VGA_top VGA_DUT(
    //inputs
    .clk (clk),
    .reset_h (reset),
    .up_btn (1'b0),
    .down_btn (1'b0),
    .left_btn (1'b0),
    .right_btn (1'b0),
    //outputs
    .red (),
    .blue (),
    .green (),
    .hs (),
    .vs ()
    endmodule
    DCM code:
    `timescale 1ps/1ps
    (* CORE_GENERATION_INFO = "DCM,clk_wiz_v3_6,{component_name=DCM,use_phase_alignment=true,use_min_o_jitter=true,use_max_i_jitter=false,use_dyn_phase_shift=false,use_inclk_switchover=false,use_dyn_reconfig=false,feedback_source=FDBK_AUTO,primtype_sel=MMCM_ADV,num_out_clk=2,clkin1_period=10.000,clkin2_period=25.0,use_power_down=false,use_reset=true,use_locked=true,use_inclk_stopped=false,use_status=false,use_freeze=false,use_clk_valid=false,feedback_type=SINGLE,clock_mgr_type=MANUAL,manual_override=false}" *)
    module DCM
    (// Clock in ports
    input CLK_IN1,
    // Clock out ports
    output CLK_OUT1,
    output CLK_OUT2,
    // Status and control signals
    input RESET,
    output LOCKED
    // Input buffering
    IBUFG clkin1_buf
    (.O (clkin1),
    .I (CLK_IN1));
    // Clocking primitive
    // Instantiation of the MMCM primitive
    // * Unused inputs are tied off
    // * Unused outputs are labeled unused
    wire [15:0] do_unused;
    wire drdy_unused;
    wire psdone_unused;
    wire clkfbout;
    wire clkfbout_buf;
    wire clkfboutb_unused;
    wire clkout0b_unused;
    wire clkout1b_unused;
    wire clkout2_unused;
    wire clkout2b_unused;
    wire clkout3_unused;
    wire clkout3b_unused;
    wire clkout4_unused;
    wire clkout5_unused;
    wire clkout6_unused;
    wire clkfbstopped_unused;
    wire clkinstopped_unused;
    PLLE2_ADV
    #(.BANDWIDTH ("HIGH"),
    .COMPENSATION ("ZHOLD"),
    .DIVCLK_DIVIDE (1),
    .CLKFBOUT_MULT (20),
    .CLKFBOUT_PHASE (0.000),
    .CLKOUT0_DIVIDE (20),
    .CLKOUT0_PHASE (0.000),
    .CLKOUT0_DUTY_CYCLE (0.500),
    .CLKOUT1_DIVIDE (50),
    .CLKOUT1_PHASE (0.000),
    .CLKOUT1_DUTY_CYCLE (0.500),
    .CLKIN1_PERIOD (10.000),
    .REF_JITTER1 (0.010))
    plle2_adv_inst
    // Output clocks
    (.CLKFBOUT (clkfbout),
    .CLKOUT0 (clkout0),
    .CLKOUT1 (clkout1),
    .CLKOUT2 (clkout2_unused),
    .CLKOUT3 (clkout3_unused),
    .CLKOUT4 (clkout4_unused),
    .CLKOUT5 (clkout5_unused),
    // Input clock control
    .CLKFBIN (clkfbout_buf),
    .CLKIN1 (clkin1),
    .CLKIN2 (1'b0),
    // Tied to always select the primary input clock
    .CLKINSEL (1'b1),
    // Ports for dynamic reconfiguration
    .DADDR (7'h0),
    .DCLK (1'b0),
    .DEN (1'b0),
    .DI (16'h0),
    .DO (do_unused),
    .DRDY (drdy_unused),
    .DWE (1'b0),
    // Other control and status signals
    .LOCKED (LOCKED),
    .PWRDWN (1'b0),
    .RST (RESET));
    // Output buffering
    BUFG clkf_buf
    (.O (clkfbout_buf),
    .I (clkfbout));
    BUFG clkout1_buf
    (.O (CLK_OUT1),
    .I (clkout0));
    BUFG clkout2_buf
    (.O (CLK_OUT2),
    .I (clkout1));
    endmodule
    Thanks!

    Hi Gabor!
    Thanks for your reply. I have noticed your observation that the naming of the DCM is different in the error message. I have re-name it before I post it in here but nothing changed. I have also tried "re-run all" to start the simulation but with no success. 
    In the end, I have manually removed the DCM instance and created a new one with the same parameters. This seems to solve the issue. Now works both on the board and in simulations. I'm not entirely sure what was the problem in order to avoid it next time.
    Thanks for your help!

  • Problem with LogicCore clocking wizard

    Hi,
    i got this error during the translate of my design but i can't understand the reason of it:
    ERROR:NgdBuild:455 - logical net 'CLK_OUT2_SIGNAL' has multiple driver(s):
    ERROR:NgdBuild:455 - logical net 'CLK_OUT3_SIGNAL' has multiple driver(s):
    I'm using ISE 14.7 and the IP clocking wizard 3.6, which menages 3 clock signals: two of them are the clock for other IP cores (selectIO interface wizard) and the third drives the clock for a counter and a ROM.
    here is the code of the top level entity:
    entity strutturale_SERDES is
    port(
    clk,
    reset,
    control : in std_logic;
    output : out std_logic_vector (7 downto 0)
    end strutturale_SERDES;
    architecture Behavioral of strutturale_SERDES is
    signal output_ser : std_logic_vector (0 downto 0);
    signal CLK_DIV_OUT_deser_signal : std_logic;
    signal CLK_OUT2_SIGNAL : std_logic;
    signal CLK_OUT3_SIGNAL : std_logic;
    signal CLK_OUT1_SIGNAL : std_logic;
    signal CLKFB_IN_SIGNAL : std_logic;
    component DESER is
    generic
     (-- width of the data for the system
      sys_w       : integer := 1;
      -- width of the data for the device
      dev_w       : integer := 8);
    port
      DATA_IN_FROM_PINS       : in    std_logic_vector(sys_w-1 downto 0);
      DATA_IN_TO_DEVICE       : out   std_logic_vector(dev_w-1 downto 0);
      CLK_IN                  : in    std_logic;                    -- Single ended Fast clock from IOB
      CLK_DIV_OUT             : out   std_logic;                    -- Slow clock output
      IO_RESET                : in    std_logic);                   -- Reset signal for IO circuit
    end component;
    component strutturale_SER
    is port (
    clk_ser,
    clk_signal_gen,
    reset,
    control : in std_logic;
    output : out std_logic_vector (0 downto 0)
    end component;
    --ipCore Clocking Wizard
    component Multiplatore_CLK is 
    port
     (-- Clock in ports
      CLK_IN1           : in     std_logic;
      CLKFB_IN          : in     std_logic;
      -- Clock out ports
      CLK_OUT1          : out    std_logic;
      CLK_OUT2          : out    std_logic;
      CLK_OUT3          : out    std_logic;
      CLKFB_OUT         : out    std_logic;
      -- Status and control signals
      RESET             : in     std_logic
    end component;
    begin
    U1: DESER port map (
    DATA_IN_FROM_PINS => output_ser,
    DATA_IN_TO_DEVICE => output,
    CLK_IN => CLK_OUT3_SIGNAL  ,  --clk for one IP core (selectIO interface wizard)
    CLK_DIV_OUT => CLK_DIV_OUT_deser_signal,
    IO_RESET  => reset
    U2: strutturale_SER  port map (
    clk_ser => CLK_OUT2_SIGNAL, --clk for one IP core (selectIO interface wizard)
    clk_signal_gen =>  CLK_OUT1_SIGNAL , --clk for the counter and the ROM
    reset => reset,
    control => control,
    output => output_ser
     --ipCore Clocking Wizard
    U3: Multiplatore_CLK port map (
     CLK_IN1  => clk,
      -- Clock out ports
      CLK_OUT1=>CLK_OUT1_SIGNAL,
      CLK_OUT2=>CLK_OUT2_SIGNAL,
      CLK_OUT3=>CLK_OUT3_SIGNAL,
      CLKFB_IN=>CLKFB_IN_SIGNAL,
      CLKFB_OUT => CLKFB_IN_SIGNAL,
      RESET=>reset
    end Behavioral;
    I thank you in advance for your sopport.

    No i didn't try an example design.
    I resolved the problem using  the buffers of the kind BUFPLL.
    Thank you

  • Time valuation with and without clock times --- Plzz help

    hi experts
    Please help me by explaining the following.
    1) Please explain me the differnces between time valuation with
       clock times(T555Z) and time evluation without clock time(T555Y).
    2) Please explain the differences in time types and processing types and functions procedure used  in time                evaluation with clock time and in time evaluation with out clock times.
    3) What is the major differences in the schema between time evaluation with clock times and with out clock   time?
    Thanx in advance for help and Points will be rewarded in full.
    regards,

    WITH CLOCK TIME
    It processes time data that has been imported to the SAP system from time recording subsystems and data that has been recorded online. Those time events (in/out timing) will be stored in 2011 which will be used in schema processing.
    Without clock time
    to evaluate the time data of employees who enter only exceptions to the work schedule. Processing in this component assumes that times are expressed as the duration of work, and not the start and end times of work times and employee absences
    Clock times are not required for evaluation of time data
    T555y is used for processing work times  (function Types)
    T555z is used for breaks processing in time schemas (function Types)
    2. NO difference in the way they are used
    3. Schema TM00 (clock times) is a standard schema, used to evaluate employee time data that has been recorded at time recording terminals and that specifies clock times.
    Schema TM01 is a standard schema used to evaluate the time data of employees for whom only deviations from the work schedule are recorded.

Maybe you are looking for