RS-485 transceive​r spice model

Multisim Experts,
I have been on the hunt for an RS-485 transceiver spice model.  In particular the MAX489, or any comparable full duplex transceiver.  After many hours sorting through web searches I have come up empty handed.  I was able to locate IBIS models for the transceiver, but converting IBIS to Spice using Intusoft's conversion program has been unsuccessful.  I was wondering if anyone knew a good resource on how to write Spice models from existing IBIS parameters or data sheets?  Or if perhaps anyone knew where to locate RS-485 models on the web?
Thanks for your time.

Multisim uses both SPICE and XPSICE simulation engine and there should be plenty of resources available on the Internet relating for these topics.  Here are links where you can find the manuals:
SPICE:
http://esaki.ee.boun.edu.tr/~talays/spice/spice.pd​f
XSPICE:
http://users.ece.gatech.edu/~mrichard/Xspice/Xspic​e_Users_Manual.pdf
The models you sent me will not work as is, there are minor syntax issues that needs to be modified and for it to work and here is a list:
1.  No 'Param' statements were declared but a couple of statements uses a variable as a value, they did defined variable and was commented out, I guess they expect you to manually change it.  The variables I am referring to are RTR and RTF:
Look for these statements:
*DEFINE {RTR}=  53.731k  ;2.68V/1.44ns
B3 300 850 I= V(830) > 1.2   ? 0 : V(300,850) / {RTR}
Just replace RTR with the value like this:
B3 300 850 I= V(830) > 1.2   ? 0 : V(300,850) / 53.731
2.                  There are many instances where xy_array were used and unfortunately, Multisim does not support this syntax and you need to split the table into x_array and y_array separately.
For example the original model looks like this:
xy_array = [
+   -6.000 ,   -2.360
+   -5.000 ,   -2.360
+   -4.000 ,   -1.800
You need to change it to this:
+ x_array [-6.000 -5.000 -4.000 …..]
+ y_array = [ -2.630 -2.360 -1.800 ….]
3.                  You need to remove the some commas in the model
Original model:
+ fraction = FALSE, input_domain = 0.0,
Change to:
+ fraction = FALSE input_domain = 0.0
4.                  There are several duplicate subckt names declared and you should make them unique.  I simply added an extra number at the end.
The attached file contain the modified model and test circuit, I am not sure what this component is suppose to but I connected some power sources to it and was able to simulate without an error.
I hope this help.
Tien
Tien P.
National Instruments
Attachments:
RS-485.zip ‏82 KB

Similar Messages

  • Who can help me with spice model thx

    i have download a power mosfet spice model, then creat a new component in multisim
    i copy the model directly into multisim but it does't work
    who can tell me causation, or tell me how can i trun it to multisim model
    thanks very much
    spice model:
    * PSpice Model Editor - Version 9.2.1
    *$
    *DEVICE=IXFN36N100,NMOS
    * IXFN36N100 NMOS model
    * updated using Model Editor release 9.2.1 on 03/06/04 at 13:01
    * The Model Editor is a PSpice product hem.
    .MODEL IXFN36N100 NMOS
    + LEVEL=3
    + L=2.0000E-6
    + W=52
    + KP=1.0353E-6
    + RS=10.000E-3
    + RD=.22254
    + VTO=4.7737
    + RDS=10.000E6
    + TOX=2.0000E-6
    + CGSO=208.54E-12
    + CGDO=7.5038E-12
    + CBD=23.424E-9
    + MJ=1.5000
    + PB=3
    + RG=10.000E-3
    + IS=8.8150E-6
    + N=2.2584
    + RB=1.0000E-9
    + GAMMA=0
    + KAPPA=0
    *$

    Like I told another user I am no expert on model files. I have tried this file and Multisim will not recongnize it. I would recommend the following procedure if you can do it. Go into the component wizard and create a default Mosfet Using the Model Makers.. This will give you the correct syntax for the model file that Multsim can understand. If you know what the parameters mean from Pspice file then you can edit the model after it is created for you in Multisim and plug the numbers into it from the Pspice file. This should create a model that would work in Multisim. This procedure is theoretical on my part and you would have to try it to see if it works for you. It is possible that you don't have the Model Makers depending on your version of Multisim. If that is the case then we will have to try another approach.
    I do not know the meaning of the Pspice parameters (i.e what does the L=XXXXX  and N=XXXXX actual stand for). If you are unable to do this then maybe the NI Team can decipher this for you and post a model file that would work for you.
    I am going to have to study the various parameter on these files to find out their meaning  so I can be of better assistance when it comes to these model files. Right now I just don't have the time since I recently acquired a job that takes up a fair chunk of my days. I will contin ue looking into this as times permits for me.
    Message Edited by lacy on 11-11-2007 07:40 AM
    Kittmaster's Component Database
    http://ni.kittmaster.com
    Have a Nice Day

  • Multisim: LT1568 Spice modell, AC simulations

    Hi,
    I'm building an input stage to an ADC, and need to do some signal conditioning before sampling. I'm thinking of using LT1568 for Low-Pass filtering, but when doing simulations in Multisim 13.0 I'm not getting the results I'm expecting.
    I've provided my schematic, which is configured as a 4-pole LP filter with cut-off frequency at 5 MHz. When simulating it act's as a HP filter for some reason..
    I've tried LTSpice and everything works fine, and I've contacted LT (Linear Technology) to get help but they suggest me to stick with LTSpice, which is not ideal for me.
    I tried to make my own spice-model from code/description I found on the internet but with no success. Perhaps due to limited knowledge of spice.
    Attached is the schematic
    Thanks in advance
    Sebastian
    Solved!
    Go to Solution.
    Attachments:
    1568.ms13 ‏126 KB

    Try this.
    Attachments:
    1568_fixed.ms13 ‏164 KB

  • Applicable Updates and Importing Spice Models

    Two part question:
    Just installed version 10.0.144 (as seen in help-about Multisim) from CD and since my company uses a proxy server, I will need to manually check for updates.  How do I know which updates are available for this version ?
    Next, and more importantly, I need to import externally developed Spice models, assign them a shape and be able to use them in a simulation.  While you do have a method for importing a spice netlist, I do not see anything that applies to subckt models (files that contain a .subckt definition. 

    Hi there,
    It is possible to configure the Multisim 10 updater to use a proxy server.
    Launch the Support and Update Utility (SUU)
    SUU will check for updates, but will fail if you haven't configured SUU to use the proxy server
    On the main SUU window, click Settings
    On the second tab labeled Internet Connection Settings, enter the settings for you proxy server
    Click OK and restart SUU
    The latest update of Multisim 10.0 will update your version to 10.0.343.
    As for your second question, it is relatively easy to create symbols and components that use SPICE models. What you need to do is outlined in this tutorial: http://zone.ni.com/devzone/cda/tut/p/id/3173.
    Hope that answered your questions.
    Message Edited by yyao on 01-27-2009 01:02 PM
    Yi
    Software Developer
    National Instruments - Electronics Workbench Group

  • How to export spice model from master db

    I can't find a menu option nor DB manager option to select a component and export the spice model. Msim 10.1 full academic version with paid update service.

    Hi there,
    There are a few different ways to extract a component's SPICE model:
    Transfer > Export Netlist: This will export the netlist for your design. So to export the SPICE model for one component only, simply place it down alone and then export the netlist. 
    Component Properties > Model tab: This method involves copying/pasting from the Model tab of the Component Properties dialog
    Open the Database Manager
    Edit the component
    Select the Model tab
    Copy/paste the SPICE model into a text file
    Save with .cir extension
    SPICE Netlist Viewer: In Multisim 11, there is also the ability to save a netlist from the SPICE Netlist Viewer, which displays the netlist live within a pane of Multisim
    Message Edited by NatashaB on 03-05-2010 01:35 PM
    Natasha Baker
    R&D Engineer
    National Instruments
    Join the NI Circuit Design Community
    Follow Multisim on Twitter!

  • DS90LV032A spice model file

    Hi, I can not find spice model file of DS90LV032A 3V LVDS Quad CMOS differential lne receiver and ADG3304 Low Voltage 1.2 V to 5.5 V,
    Bidirectional, Logic Level Translators.
    Is anyone can help me to link a spice model file of them, thanks a lot.

    There are some free and commecial utilities that can generate one from the other, at differing levels of IBIS support (version #s, keywords, etc).  I haven't done much of that and it's been a long time, so I can't help much with the specifics of it.
    A web search of something like "IBIS to SPICE Conversion" yeilds some interesting resources.
    robert

  • Another LM324 SPICE Model error

    LM324 "generates" negative voltage in schematic with single power supply voltage.
    Attachments:
    IdiotTest#2.ms12 ‏105 KB
    Test #2.jpg ‏214 KB

    Hi,
    The model you selected was made by Motorola and unfortunately, when this model was imported into Multisim, the comments to show what this model was designed for was removed.  Some models will not work with single rail.  I was able to find the library where this model came from and base on the comments, this op-amp model was designed for the following:
    - Positive and Negative Slew Rate
    - Quiescent Power Dissipation
    - Maximum Positive and Negative
    - Output Voltage Swing
    - Input Bias Current
    - Open Loop Voltage Gain
    - Unity Gain Frequency
    - Common Mode Rejection Ratio
    (CMRR)
    - Output Impedence (ac and dc)
    - Output Short Circuit Current Limit
    You can find the original model from here:
    http://www.gunthard-kraus.de/Spice_Model_CD/Vendor%20List/Spice-Models-collection/motoramp.lib
    The opemp have several models, try the one from TI
    Tien P.
    National Instruments

  • I need the ISO124 SPICE Model, or the component on Multisim. Can anyone help me?

    People, I need the ISO124 model. Does anybody know how I can find it??
    Thank you soo much! 

    Hi,
    The SPICE model for the ISO124 is not available by the manufacturer. You can try contacting them and make a request for the model, sometimes they provide it upon a customer request.
     Mahmoud W
    National Instruments

  • I need the SPICE Model for DS1809 or the component in Multisim. Help mee!

    Hey people, I need the SPICE Model for DS1809 (Digital Potentiometer) for Multisim. Does anybody have this?? Or any idea where to get. I searched for, but I coudn't find.
    Thank you

    Hi,
    As you can see in this link the part is longer manufactured and it does not have a replacement.
    In terms of functionality, you can try using the component PLL_VIRTUAL in Multisim to replace it in a high level simulation or create a new component of a replacement that you have the SPICE model of.
    Let me know if you need any help.
    Mahmoud W
    National Instruments

  • I need the SPICE Model for MC145106 or the component in Multisim. Please

    I need the SPICE Model for MC145106 or the component in multiSIM. Please!

    Hi,
    As you can see in this link the part is longer manufactured and it does not have a replacement.
    In terms of functionality, you can try using the component PLL_VIRTUAL in Multisim to replace it in a high level simulation or create a new component of a replacement that you have the SPICE model of.
    Let me know if you need any help.
    Mahmoud W
    National Instruments

  • RTD Platinum sensor Spice model

    Does anybody have a spice model or can show me how to make one for a 20 ohm platinum ptc sensor with self heating ? I need to simulate it in a closed loop bridge circuit, I am an old fart that normally just uses paper and changes parts but this circuit is all smd.
    Thanks doug W

    Doug,
    I created a simple RTD model that you can try. Use the TEMP pin to supply the external environment temperature as a voltage signal.
    Take a look at the SPICE model and you should be able to figure out what's going on. Basically, its just a variable resistor modeled as I=V/R(temp), where temp is the adjusted temperature, a function of the external temperature and the power across the device. 
    Hope that helps!
    Max
    National Instruments
    Attachments:
    RTD.ms10 ‏81 KB

  • Apex PA50 SPICE Model used in Multisim

    Below I have pasted the SPICE code from Apex for their PA50 power amp.  I tried using the component wizard in Multisim but when I run the simulation I get the following errors:
    1st a popup) An error has been found in the Netlist, would you like to proceed anyway?
    2nd if I proceed) ------ Checking SPICE netlist for Apex_PA50 - Saturday, July 20, 2013, 12:54:12 PM ------
    SPICE Netlist Error in schematic RefDes 'u1', element 'xu1':  Unexpected '6' found on subckt instance line - too many nodes or parameter value missing name.
    SPICE Netlist Error in schematic RefDes 'u1', element '<unknown>':  Due to errors, the subckt instance 'xu1' has been omitted from the simulation
    ======= SPICE Netlist check completed, 2 error(s), 0 warning(s) =======
    You SPICE gurus out there know how I can get these kinds of Apex models into multisim?  They really make great amplifiers.
    Thanks
    Robert Harker
    *REVISION 2 18-MAR-2002
    *REDUCED INTERACTION OF SLEW RATE WITH CHANGING SUPPLY.
    * BEGIN OPAMP MACROMODEL PA50
    * PINOUT ORDER  +IN -IN OUT +VB -VB +VS -VS
    .SUBCKT PA50 1 2 3 4 5 36 37
    J1 10 1 8 JI1
    J2 11 2 9 JI2
    R3 12 8 1.34E+03
    R4 12 9 1.34E+03
    I2 12 5 4.50E-04
    C1 12 5 5.00E-13
    R5 12 5 5.45E+06
    R1 4 10 1.59E+03
    R2 4 11 1.59E+03
    C2 10 11 1.67E-11
    I1 4 5 2.64E-02
    G1 6 15 11 10 6.28E-04
    G2 6 15 12 15 2.81E-08
    R6 6 15 1.00E+05
    D1 6 15 DD
    D2 15 6 DD
    C3 6 7 7.50E-12
    G3 15 7 15 6 1.00E+01
    R7 7 15 1E3
    D3 7 16 DD
    V1 18 16 5.50E+00
    D4 17 7 DD
    V2 17 19 5.50E+00
    RE1 15 0 0.001
    E2 38 0 4 0 1
    E3 39 0 5 0 1
    R8 7 20 50
    C4 20 15 5.80E-11
    Q3 37 20 21 QOP
    Q4 36 20 22 QON
    Q5 36 21 29 QON
    Q6 37 22 29 QOP
    E4 41 36 38 36 0.69
    E5 42 37 39 37 0.69
    E6 18 0 41 0 1
    E7 19 0 42 0 1
    RY1 38 0 10E6
    RY2 39 0 10E6
    RY3 41 0 10E6
    RY4 42 0 10E6
    I3 36 21 5.36E-03
    I4 22 37 5.36E-03
    I5 37 36 1.0E-02
    R15 29 3 8.5E-02
    DC1 29 36 DO
    DC2 37 29 DO
    .MODEL DO D(CJO=10PF IS=1.26E-12 RS=2.38E-03)
    .MODEL DD D(CJO=0.1PF IS=1E-17)
    .MODEL DL D(CJO=3PF IS=1E-13)
    .MODEL JI1 NJF (BETA=4.00E-03 IS=3E-16 VTO=-1)
    .MODEL JI2 NJF (BETA=4.00E-03 IS=3E-16 VTO=-1.0050)
    .MODEL QOP PNP (BF=2.35E+04 IS=1E-14)
    .MODEL QON NPN (BF=2.35E+04 IS=1E-14)
    .MODEL QLN NPN (BF=100 IS=1E-14)
    .MODEL QLP PNP (BF=100 IS=1E-14)
    * END OF OPAMP MACROMODEL
    .ENDS
    Solved!
    Go to Solution.

    Here goes the netlist.  OOPS the netlist is more than 10000 characters so I attached a word document if that helps.  Thanks
    Attachments:
    Multisim Apex PA50.doc ‏29 KB

  • The spice model of LM3914

    I need the LM3914  for simulation , but i cant find one in multisim, so
    how do I create an IC LM3914 component in multisim?

    An alternative solution could be the creation of the internal schematic that describes the component, and based on this, create a SPICE macro model.
    Here are two tutorials about this procedure:
    Building a SPICE Macro Model from Multisim Schematic (basic component, diode)
    SPICE Macro Model Creation Using Equivalent Circuit  (IC)
    Hope this helps.
    Fernando D.
    National Instruments

  • Spice error for model NDC7001C

    Hi,
    I get the following error when I run the simulation "SpiceModelSims" (attached). Could there be a problem in the spice model file itself?
    ------ Checking SPICE netlist for SpiceModelSims - Wednesday, March 21, 2012, 11:37:48 AM ------
    SPICE Netlist Error in schematic RefDes 'u3', element 'xu3':  Unexpected '0' found on subckt instance line - too many nodes or parameter value missing name.
    SPICE Netlist Error in schematic RefDes 'u3', element '<unknown>':  Due to errors, the subckt instance 'xu3' has been omitted from the simulation
    ======= SPICE Netlist check completed, 2 error(s), 0 warning(s) =======
    Thanks, any help would be appreciated!
    Solved!
    Go to Solution.
    Attachments:
    SpiceModelSims.ms11 ‏125 KB

    Hi NRaj,
    The model you used has two separate models, a P and an N MOS model.  Since you put both models inside one symbol it will cause a simulation problem.  To fix this problem, you have to modify the syntax so that both MOS models are called within a single model, the syntax should look something this:
    .subckt NDC7001 DN GN SN DP GP SP
    XQN DN GN SN SN NDC7001CQ1 
    XQP DP GP SP SP NDC7001CQ2
    .copy your model after the line above
    .ends 
    The attached file is the model with the changes I made.  When you edit your model, review the component creation tutorial from the link below.  Step 7 explains the relationship between the model subckt statement the symbol in Multisim. 
    http://zone.ni.com/devzone/cda/tut/p/id/3173
    Tien P.
    National Instruments
    Attachments:
    NDC7001.txt ‏3 KB

  • How to create a new component using SPICE?

    People, I don't know how to create a new component using SPICE.
    I know that it's possible to create a new component and use a SPICE code, but I don't know how to do this very well, and I need the TI ISO124 (Isolation Amplifier), and I have no idea on how to do that. Can somebody help me? I need to develop a layout.
    Thank you!!

    Hi,
    Here is a tutorial that explains in details how to create your own component in Multisim using a SPICE model.
    Hope you find it helpful,
    Mahmoud W
    National Instruments

Maybe you are looking for

  • Error: Storage system verify or repair failed

    My MBP frooze a couple times yesterday; I loaded Disk Utillity and with the top level "122.33 GB APPLE SSD" selected I tried both "Verify Disk" and "Repair Disk." I get a pop up that says, "Alert: Storage system verify or repair failed." On the detai

  • Seperate include directory for i486-mingw32 cross-compiler toolchain

    I've installed the mingw32 cross-compiler. I noticed that when using this compiler, a seperate, non-standard include directory is used. So instead of copying all of the dependencies headers to compile the software, is there an easier way of including

  • Email word document as attachment

    I have a template in word document with some variables and text in color, bold, grey etc. stored in UNIX directory. My goal is to replace variable with customer name, number dynamically and then send email this word document as an attachment in class

  • 2 of 5 barcode printing in Smartforms

    Hi, I am printing a 2 of 5 barcode on my smartform. When reading it using the barcode reader, I have an extra "0" showing at the beginning of the value. The extra zero is not showing on the human readable value. This is not the check digit as in the

  • Hide Accordion Panels whilst loading

    Hi All, The default behaviour of the Accordion is to show all panels as open until the script kicks in and hides them (if defaultPanel: -1) or just shows one, which ever is set as default. In my test: http://www.ac-et.com/bargains/request.asp I have