Single project definition (Investment + Overhead)?

Hi  PS gurus,
I have a business requirement, single project can be having both investment & overhead, meaning, in the project some costs have to be settled to final asset (capitalisation) and some costs are to be settled to cost centre (revenue expenditure).
please let us know how i can proceed.
Currently, we are creating investment project & overhead project separately using investment profile & overhead profile respectively.
Thank you in advance,
Srihari

Created project definition using invesment profile  through CJ01 and wbs elements 3 inserted, viz
WBS element-0 -   Dummy -
Level 1
WBS element 1 -   Investment measure -
Level 2
WBS element 2 -   Overhead                 -
Level 2
Networks created through CN21
Network1 assigned to WBS element 1 -PR/PO created / MIGO/ML81n carried out then MIRO
Network2 assigned to WBS element 2 -PR/PO created /MIGO/ML81n carried out then MIRO
CJ88 - Settlement
WBS element 1 - costs moved from NW to WBS elements to AUC - capitalized by creating settlement rule on final asset
WBS element 2-  costs moved from NW to WBS element, create settlement rule on Cost Centre
while creating WBS elements, u have to be careful in ensure the WBS element 2 does not investment profile, check all control tabs in WBS & NW.
The above process applied for Project with Investment + Overhead Expenditure.
Thanks
Srihari

Similar Messages

  • Transferring wbs elements bewteen project definitions

    I have created many wbs elements under a single project definitions and now have financial posting against them.  Is it possible to transfer some of the wbs elements to a new project defintion?

    Rich, there is nothing called transferring WBSE from one Project Definition to another. But you can do these.
    1. Delete the WBSE, if there are no postings happend, and then create the WBSE with same id for the other project Definition.
    2. Rename the WBSE id(for which the acutal cost exists) to some id, and then create the WBSE with the same id to other proejct definition..(i think it should work, check and come back)
    But, I would like to ask you onething, why you want to mix up WBSE coding in a project. Suppose if your project Definition is A.001, then it is the good practice to maintain the WBSE which follows the code A.001.01, A.001.02 etc., From your post I understand that you want to create A.001 as project and A.001.01, A.111.01 as your WBS Elements. Why do you want to do like this?

  • Transfer WBS elements to Project Definitions

    I have created many wbs elements under a single project definition and want to know if it is possible to transfer some of them to a new project defintion?

    i think you are talking about something not related to Business Objects, are you asking about SAP BW?
    kindly put your post to the right forum to get some usefull answer.
    good luck

  • Needs the table from which "project definition" field is to be extracted

    Hi,
    As a requirement in BW, we have to add the new field project definition in the BW cube 0COOM_C02(description of the cube:- CO-OM: Costs and Allocations Project (Delta Extraction)).For this, we have to add the same in all the data sources related to this cube.
    Data sources under this cube are:
    0CO_OM_CCA_9 (Cost Centers: Actual Costs Through Delta Extraction)
    Take any one of the data source and search that in the R/3 side tcode RSA6, double click and you will find the entire structure (fields) for that data source.Double click the extract structure appearing above it to find the technical details of each field.
    Now we have to add the field project definition in the data source.For extracting the same, we have to write the logic which will extract the value of project field from a table.
    We dont have any problem in writing the code but
    Our requirement is that during coding, from which table we should extract the value ? and also on what basis?(means what should be the selecting criteria for populating that field) .
    If you want any information on these data sources, do reply me.
    Thanking you in advance,
    Tarun Brijwani.

    The cube is related to module
    Financials Management & Controlling----->Controlling----->Overhead Cost Controlling

  • How can i merge javafx code and java fxml code in a single project

    how can i merge javafx code and java fxml code in a single project.
    Please let me Know as soon as possible.

    Everything that it is possible to retrieve from a class file can be deduced from the class file definition.
    http://java.sun.com/docs/books/vmspec/2nd-edition/html/ClassFile.doc.html
    Sylvia.

  • How to find next number range for project definition in tcode CJ20N

    Hai Experts,
          Please help me 'How to find next number range for project definition in tcode "CJ20N". I was trying in function module NUMBER_GET_NEXT. Is it right function module? If its right what input i need to give for this tcode and for the field project definition?
    Note: I searched in forum before posting, but couldn't find the solution.
    Thanks
    Regards,
    Prabu S.

    Hi,
    For project defination internal number is assigned by system.
    When you saves's project then system allocate one number to project defination, you can view it,
    SE11 >>> table  PROJ >> Click on contents >>> execute,
    here you will get your project defination & number is assigned to project defination.
    kapil

  • How to block reusage of archived Project definition and WBS element numbers

    Dear All,
    We have archived our projects using the TC SARA and the Project definition and WBS elements numbers no more exist in tables PROJ and PRPS etc.. When I now try to create a new project, the system proposes the next open number or allows to enter a number which is the same as one of the archived projects. But in our organization we cannot reuse the Project definition and WBS element numbers (even if it is archived) as a rule.
    Can anybody guide me what are the possibilities to block or avoid the reusage of the numbers of archived project definition and WBS elements in a fool-proof way? 
    Thanks and regards

    One Workaround:
    Use T code OPSJ and lock the project coding mask. Doing this you can not use this mask any more.
    But you want to continue using the mask but with different numbers. Not sure how this can be acheived. lets wait for others view
    Regards
    Sreenivas

  • For the first time, I'm trying to use adobe premiere elements10 that came with my pc Windows 8. I created a single project, saved it but cannot open it. My pc shows the file I created but I get an error message that says this type file is not supported or

    For the first time, I'm trying to use adobe premiere elements 10 that came with my pc Windows 8. I created a single project, saved it but cannot open it. My pc shows the file I created but I get an error message that says this type file is not supported or the codex is not installed. As a test, I created another very small project and get the same error message, when I try to open it. Pls give me a simple answer, a refund or a phone

    mike frischenmeyer
    What computer operating system is your Premiere Elements 10 running on? And, what video card/graphics card does that computer use?
    Is this the first time you are using Premiere Elements 10 or have you worked with it before successfully? There is no easy solution until we
    know the details and troubleshoot to determined what caused the problem.
    1. Can you open a new project?
    2. After you saved/closed the problem project, did you move, delete, or rename any of the files/folder that were related to the source media
    for that project?
    3. Please review the Adobe document on troubleshooting damaged projects.
    Troubleshoot damaged projects | Adobe Premiere Elements
    4. What are the steps that you are using to reopen this saved closed project.
    a. File Menu/Open Project/Name of Project
    b. Other
    Please review and consider and then we can decide what next based on your further details and results..
    Thank you.
    ATR

  • How many implimentations can be done for a single BADI definition?

    How many implimentations can be done for a single BADI definition?
    Is there any restriction to impliment a single BADI definition?
    Plz answer with proper explanation....
    Thank you in advance
    REgards,
    Chaitanya

    hi Krishna Chaitanya,
    In a badi if the "Multiple Use" Checkbox is checked then You can have any number of Implementations for the BADI
    Say I have defined a BADI "BADI1"
    and I have 3 implementations
    IMP1
    IMP2
    IMP3
    The order of execution will be IMP1, IMP2 and IMP3
    In a badi if the  "Multiple Use" Checkbox is not checked then You can have ONLY ONE  Implementation for the BADI
    Say I have defined a BADI "BADI1"
    and I have 3 implementations
    IMP1
    IMP2
    IMP3
    Only one Implementation can be active at a time and only that will get executed
    Hope this helps a bit
    Reward if Useful
    Cheers
    Kripa Rangachari.

  • High Memory utilization for a single project

    Hi All,
    I am facing one issue with one of the projects configured. We have endeca installed on a Windows server and there are multiple projects setup. I am observing that dgraph.exe for a single project is taking as much as 80% of memory on server. I do see that the project is receiving around 300 to 350 requests every day which is slightly higher than other projects. I am required to manually restart the project before business starts and that releases the memory. I am not able to identify whats causing this issue. Any ideas on how to troubleshoot the issue?
    Any suggestions will be of great help..
    Thank You,
    Sunil

    The number of threads will not have any impact on memory usage, however, I agree with Saleh that this doesn't make any sense.
    I would suggest the following:
    Grab the stats page XML (http://[your server name]:[your port]/admin?op=stats).
    Restart the dgraph and see how much memory is taken up on the initial load. Then, assuming the memory consumption is reasonable, issue a couple N=0, N=something queries to the index and track what's happening with how much memory is being taken up.
    If you're still at a normal level, it seems like there must be some process or "bad query" that causes the memory to spike. A possible culprit might be found on the "most expensive queries" section of the stats page but it's possible it won't be there as that only tracks queries that have completed, not queries that are still in process or taking forever.
    The only other explanation I can think of is a rogue "bulk export" query where a user is trying to (for example) export the entire index to excel or something like that. Does your application offer that functionality?
    Regards,
    Patrick Rafferty
    Branchbird

  • Error : PRC: Generate Draft Revenue for a Single Project

    Dear all,
    I'm using Project using Cost / Cost as revenue accrual/Billing method
    and I try to Generate Draft Revenue but I have a problem
    Please suggest what could be wrong.
    Log file :
    Projects: Version : 11.5.0 - Development
    Copyright (c) 1979, 1999, Oracle Corporation. All rights reserved.
    PARGDR_SINGLE module: PRC: Generate Draft Revenue for a Single Project
    Current system time is 29-JUL-2009 11:15:45
    Entering parmai()
    ...Connected to Oracle
    Accrue Thru date from Command line is : 2009/08/02 00:00:00
    project:35 delete:N regenerate:Y acc_thru_dt:02-Aug-09
    start project: end project:
    Revenue is running in Normal revenue mode
    Revenue is not based on specific project type...
    Revenue is not based on specific organization...
    Revenue is not based on specific customer...
    Revenue is not based on specific Agreement...
    Revenue is running for MCB/Non MCB projects...
    Revenue is running for release revenue mode...
    Revenue is not creating the detail report ...
    Revenue Processing Parameter List
    =================================
    -------------- < parameter list > -----------------------
    Accru Through Date ----------------------------------------> 02-Aug-09
    Project ID ------------------------------------------------> 35
    From Project Number --------------------------------------->
    To Project Number ----------------------------------------->
    Adjusting Revenue Run -------------------------------------> No
    Project Type ID -----------------------------------------> 0
    Organization ID ------------------------------------------> 0
    Customer ID ----------------------------------------------> 0
    Agreement ID ----------------------------------------------> 0
    Multi Currency Projects -----------------------------------> No
    Release Draft Revenue -------------------------------------> Yes
    Include Detail Report -------------------------------------> No
    -------------- < End of parameter list > -----------------------
    ...Request ID = 804880
    This concurrent request is not being rescheduled
    Current system time is 29-JUL-2009 11:15:45
    ...about to delete revenue for project id 35
    ...0 draft revenues deleted
    Current system time is 29-JUL-2009 11:15:45
    ...about to process adjustments
    SELECT p.project_id, p.segment1,
    p.distribution_rule, p.project_level_funding_flag,p.project_currency_code,
    p.project_bil_rate_date_code,
    p.project_bil_rate_type,
    p.project_bil_rate_date,
    p.project_bil_exchange_rate,
    p.projfunc_currency_code,
    p.projfunc_bil_rate_date_code,
    p.projfunc_bil_rate_type,
    p.projfunc_bil_rate_date,
    p.projfunc_bil_exchange_rate,
    p.revproc_currency_code,
    p.funding_rate_date_code,
    p.funding_rate_type,
    p.funding_rate_date,
    p.funding_exchange_rate,
    p.multi_currency_billing_flag,
    p.assign_precedes_task
    FROM pa_projects p, pa_project_types t
    WHERE p.project_id = :project_id
    AND pa_project_utils.check_prj_stus_action_allowed(p.project_status_code,'GENERATE_REV') = 'Y'
    AND :start_project_number||'x' != :end_project_number||'y'
    AND p.project_type = t.project_type
    AND t.project_type_class_code = 'CONTRACT'
    AND EXISTS (SELECT /*+ INDEX(pf pa_summary_project_fundings_u1)*/ NULL
    FROM pa_summary_project_fundings pf
    WHERE pf.project_id = p.project_id
    AND nvl(pf.revproc_baselined_amount, 0) != 0)
    AND NOT EXISTS
    (SELECT NULL
    FROM pa_draft_revenues r2
    WHERE r2.project_id = p.project_id
    AND r2.released_date||'' is null
    AND r2.generation_error_flag||'' = decode(:mass_gen,
    1, 'Y', r2.generation_error_flag)
    AND (EXISTS (SELECT NULL
    FROM pa_expenditure_items_all i
    WHERE i.project_id = p.project_id
    AND i.cost_distributed_flag ||''= 'Y'
    AND i.revenue_distributed_flag = 'N'
    AND i.expenditure_item_date
    <= NVL(TO_DATE(:acc_thru_dt),sysdate)
    AND EXISTS (SELECT /*+ LEADING(l)*/ NULL
    FROM     pa_draft_revenues r, pa_cust_rev_dist_lines l
    WHERE r.project_id = i.project_id
    AND      ((l.expenditure_item_id = i.adjusted_expenditure_item_id AND i.adjusted_expenditure_item_id is not null )
    OR (l.expenditure_item_id = i.expenditure_item_id))
    AND      NVL(l.reversed_flag,'N') = 'N'
    AND      l.line_num_reversed IS NULL
    AND      r.project_id = l.project_id
    AND      r.draft_revenue_num = l.draft_revenue_num
    AND (r.released_date||'' is not null
    OR r.generation_error_flag||'' = decode(:mass_gen,
    1, 'N', 'E')))
    union all
    SELECT /*+ LEADING(v)*/NULL
    FROM pa_events v
    WHERE v.project_id = p.project_id
    AND ((v.revenue_distributed_flag = 'N'
    AND v.completion_date
    <= NVL(TO_DATE(:acc_thru_dt),sysdate))
    OR (substr(p.distribution_rule,1,4) = 'COST'
    AND v.revenue_distributed_flag = 'Y'
    AND v.completion_date
    > TO_DATE(NVL('02-Aug-09', sysdate))))
    AND (DECODE(NVL(v.bill_trans_rev_amount, 0), 0 ,
    DECODE(NVL(v.zero_revenue_amount_flag, 'N'), 'Y', 1, 0),1) = 1)
    AND NVL(revenue_hold_flag, 'N') = 'N'
    AND EXISTS (
    SELECT NULL
    FROM pa_tasks t
    WHERE v.task_id is not NULL
    AND t.ready_to_distribute_flag = 'Y'
    AND v.task_id = t.task_id
    UNION ALL
    SELECT NULL
    FROM pa_tasks t1
    WHERE v.task_id is NULL
    AND t1.ready_to_distribute_flag = 'Y'
    AND v.project_id = t1.project_id )
    AND Exists (select null from pa_draft_revenues r,pa_cust_event_rev_dist_lines l
    where l.project_id = v.project_id
    AND ( l.task_id = v.task_id OR v.task_id is NULL )
    AND l.event_num = v.event_num
    AND NVL(l.reversed_flag,'N') = 'N'
    AND l.line_num_reversed IS NULL
    AND r.project_id = v.project_id
    AND r.draft_revenue_num = l.draft_revenue_num
    AND (r.released_date||'' is not null
    OR r.generation_error_flag||'' = decode(:mass_gen,
    1, 'N', 'E')))
    union all
    SELECT /*+ USE_CONCAT */ NULL
    FROM PA_Billing_Extensions be, PA_Billing_Assignments bea
    WHERE bea.active_flag = 'Y'
    AND bea.billing_extension_id = be.billing_extension_id
    AND (bea.project_id = p.project_id
    OR bea.project_type = p.project_type
    OR bea.distribution_rule = p.distribution_rule)
    AND be.calling_process in ('Revenue','Both')
    AND nvl(be.call_after_adj_flag, 'N') = 'Y'
    AND be.trx_independent_flag = 'Y'))
    Current system time is 29-JUL-2009 11:15:45
    ...0 projects processed for adjustments
    Current system time is 29-JUL-2009 11:15:45
    ...about to generate revenue for project id 35
    ...Fetching next project
    Current system time is 29-JUL-2009 11:15:45
    ...about to call pa_billing.bill_ext_driver( 35, 'Revenue', 'PRE', '02-Aug-09', 804880 )
    Current system time is 29-JUL-2009 11:15:45
    ...exiting pa_billing.bill_ext_driver( 35, 'Revenue', 'PRE', '02-Aug-09', 804880 )
    Current system time is 29-JUL-2009 11:15:45
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    ...Else loop 1
    ...else loop 2
    ...else loop 4
    ...else loop 5
    ...non_zero_amount
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    Leaving pauoarchn()
    ...Else loop 1
    ...else loop 2
    ...else loop 4
    ...else loop 5
    ...non_zero_amount
    Leaving pauoarchn()
    Leaving pauoarchn()
    Current system time is 29-JUL-2009 11:15:48
    ...generated revenue for project id 35, number DP700150.01
    ...about to call pa_billing.bill_ext_driver( 35, 'Revenue', 'REG', '02-Aug-09', 804880 )
    Current system time is 29-JUL-2009 11:15:48
    ...exiting pa_billing.bill_ext_driver( 35, 'Revenue', 'REG', '02-Aug-09', 804880 )
    Current system time is 29-JUL-2009 11:15:49
    List of error messages for project id 35 for Revenue at location REG
    Procedure Name Message B Assgn Id Task Id
    pa_billing_pub.inse Create a default event type for this Bi 1
    Current system time is 29-JUL-2009 11:15:49
    ...generated revenue for automatic events for project id 35, number DP700150.01 calling loc REG
    ...about to call pa_billing.bill_ext_driver( 35, 'Revenue', 'POST-REG', '02-Aug-09', 804880 )
    Current system time is 29-JUL-2009 11:15:49
    ...exiting pa_billing.bill_ext_driver( 35, 'Revenue', 'POST-REG', '02-Aug-09', 804880 )
    Current system time is 29-JUL-2009 11:15:49
    Current system time is 29-JUL-2009 11:15:49
    ...generated revenue for automatic events for project id 35, number DP700150.01 calling loc POST-REG
    ...about to call pa_billing.bill_ext_driver( 35, 'Revenue', 'POST', '02-Aug-09', 804880 )
    Current system time is 29-JUL-2009 11:15:49
    ...exiting pa_billing.bill_ext_driver( 35, 'Revenue', 'POST', '02-Aug-09', 804880 )
    Current system time is 29-JUL-2009 11:15:49
    ...Fetching next project
    Current system time is 29-JUL-2009 11:15:49
    Current system time is 29-JUL-2009 11:15:49
    ...about to call AutoAccounting
    Current system time is 29-JUL-2009 11:15:49
    Current system time is 29-JUL-2009 11:15:49
    Current system time is 29-JUL-2009 11:15:49
    Current system time is 29-JUL-2009 11:15:49
    Warning in Flex Validation for line '35:353:1:1'
    Null GL# 50269 key flexfield is not allowed
    Warning in Flex Validation for line '35:353:2:1'
    Null GL# 50269 key flexfield is not allowed
    Current system time is 29-JUL-2009 11:15:50
    Current system time is 29-JUL-2009 11:15:50
    Current system time is 29-JUL-2009 11:15:50
    Current system time is 29-JUL-2009 11:15:50
    Current system time is 29-JUL-2009 11:15:50
    Current system time is 29-JUL-2009 11:15:50
    Current system time is 29-JUL-2009 11:15:50
    Current system time is 29-JUL-2009 11:15:50
    ...returned from AutoAccounting
    ...about to update pe_expenditures_all for intercompany processing
    Current system time is 29-JUL-2009 11:15:50
    Entering parddl()
    ...setting error codes and bad code combination id to null
    ... Update draft revenues for marking autoaccounting error if any
    Current system time is 29-JUL-2009 11:15:50
    1 rows updated.
    ... Update draft revenues without generation error to normal state
    Current system time is 29-JUL-2009 11:15:50
    0 rows updated.
    ... Update pa_cust_event_rev_dist_lines for setting bad CCID to null
    Current system time is 29-JUL-2009 11:15:50
    0 rows updated.
    ... Update pa_events for marking AutoAccounting error if any
    Current system time is 29-JUL-2009 11:15:50
    0 rows updated.
    ... Update pa_events for setting revenue_distributed_flag to 'N'
    Current system time is 29-JUL-2009 11:15:50
    0 rows updated.
    ... Update pa_cust_rev_dist_lines for setting bad CCID to null
    Current system time is 29-JUL-2009 11:15:50
    0 rows updated.
    ... Update pa_expenditure_items_all for marking AutoAccounting error if any
    Current system time is 29-JUL-2009 11:15:50
    0 rows updated.
    ... Update pa_expenditure_items_all for setting revenue_distributed_flag to 'N'
    Current system time is 29-JUL-2009 11:15:50
    0 rows updated.
    Leaving parddl()
    Current system time is 29-JUL-2009 11:15:50
    Current system time is 29-JUL-2009 11:15:50
    0 rows updated with Generation error due to ccid=-1
    Current system time is 29-JUL-2009 11:15:50
    0 rows updated with Generation error PA_REV_GEN_ERROR
    Current system time is 29-JUL-2009 11:15:50
    ...1 projects processed for revenue generation
    Current system time is 29-JUL-2009 11:15:50
    ...about to update proj summary funding amounts
    executing pa_billing.check_spf_amounts('B',35, , ) Calling check_spf_amounts...Inside the Single projects If
    Current system time is 29-JUL-2009 11:15:50
    ...about to generate reports
    Current system time is 29-JUL-2009 11:15:50
    ...completed generating reports
    Process completed.
    Start of log messages from FND_FILE
    End of log messages from FND_FILE
    Executing request completion options...
    ------------- 1) PRINT   -------------
    Printing output file.
    Request ID : 804880      
    Number of copies : 0      
    Printer : noprint
    Finished executing request completion options.
    Concurrent request completed successfully
    Current system time is 29-JUL-2009 11:15:50
    ---------------------------------------------------------------------------

    Hi Dina, thx for your response
    I have error "Rejection Error : Auto Accouting Error"
    There is Output :
    Project Num Revenue(Credited) Customer Agreement Rejection Reason
    DP700150.01 1 NOKIA SI( 1058) PKS-01/NSN/09 AutoAccounting Error

  • Short Dump while using BAPI_PS_PRECOMMIT to set Project Definition Status

    Hi All,
    I am getting a short dump while using BAPI_PS_PRECOMMIT for setting the Project Definition status..
    The requirement is to create a Project Definition and then set its status to REL from CRTD. For this purpose i am using the BAPI's in the following sequence :
    1. BAPI_PS_INITIALIZATION
    2. BAPI_PROJECT_MAINTAIN
    3. BAPI_BUS2001_SET_STATUS
    4. BAPI_PS_PRECOMMIT
    5. BAPI_TRANSACTION_COMMIT
    The Proj Def creation is happening successfully in step2. Interestingly, when I debug the program and stop at PRECOMMIT BAPI the program does not give a dump. I have also tried to give COMMIT WORK / WAIT FOR n SECONDS after BAPI_BUS2001_SET_STATUS, to give BAPI sometime for DB update but nothing seems to be a permanent solution.
    Please give your views on a solution for this problem..
    Thanks & Regards,
    Somil

    Can you please check how to post code in SDN ...
    I cannot read your code properly

  • Creation of project definition and wbs element

    I want creation of project definition and wbs element upto 4 level using bapis.
    i am using transaction cj27,cj01,cj02
    which function module to use for this??

    Hi,
    to create project definition with customer fields the BAPI you should use is : BAPI_BUS2001_CREATE
    to create WBS elements with different levels and specific fields the BAPI you should use is BAPI_BUS2054_CREATE_MULTI
    these bapi must be used in the sequence of call :
    BAPI_PS_INITIALIZATION
    BAPI_BUS2001_CREATE / BAPI_BUS2054_CREATE_MULTI
    BAPI_PS_PRECOMMIT
    BAPI_TRANSACTION_COMMIT
    before using the bapi, you must apply OSS note :
    637345 - Syntax error with enhanced PS tables
    i sent you the oss note to your mail
    please reward points if helpfull.

  • How to populate WBS element and Project definition in Additional Tab

    Hi,
    I have a 2 requirements
    1) we need to populate WBS element and Project definition of an order in PM
    IW 32 trasnaction (Additional data tab).
    2)Also i need to program settlement rules in CJ02 for WBS elements .
    How do i approach ?  when i do manually it is taking the values in IW 32 when the status is released.
    So i think first we need to chnage the Status from Created to released and then start working on step 1 and Step 2
    Kindly suggest.
    Thanks,
    Pradeep.

    Problem one solved ......
    Basically we need to put the status of WBS elememnts and then write a BDC for additional data tab.
    Looking into issue 2......

  • 1 project definition with several wbs elements or several project definitin

    Hello
    I need projects as account assignment elements in CO (when entering vendor invoices for costs). Should I have 1 project definition with all projects /wbs elements underneath, or should I have 1 project definition uniquely defined for each project/wbs element? Some projects are not settled to assets und construction, some projects are settled to assets und construction.
    kind regards
    Arjan

    Arjan
    I don't think you can have Projects as account assignment objects. You can have WBS element as account assignment object.
    One alternative I can suggest, if you want to see project costs at higher level instead of breaking down the project into many WBS elements. Create a project with one WBS element only and use that WBS element as account assignment object.
    It is only possible to have a project with one or more WBS elements. I don't think you can define projects within projects.
    letus put it this way assume WBS elements are projects within a project. But make sure all the WBS elements are related to one project
    Hope you are clear now.
    Thanks
    Prasad

Maybe you are looking for

  • Firefox crashes upon opening, despite having tried all help suggestions and re-installing new version.

    I have a MAC: 2006, but intel Core Duo; version 10.4.11 I have had some difficulties with my Firefox recently: crashing occasionally, not always loading a page on the first try, saved e-mails or passwords working off and on. I trashed my current vers

  • Purchase Order Approval Notifications and Multiple Currencies

    All, If I have a user who creates a requisition in EUR and then submits the requisition for approval to someone who has a default currency of USD, the workflow notification will show the value of the requisition in both EUR and USD. This is because t

  • Break up Catalyst Swf for Decrease Size

    Hello, Is is possible to break up Catayst swf file from the layers in fxp to separate swfs..then bring in swfs with interaction on button? Thanks, Romo

  • Text, email, voicemail tones

    I have an iPhone 4s and have set the tex, voicemail, mail, tweet, etc tones to "none".  They still, however, sound the tones.  Any thoughts on how to silence those.  I want my to keep the phone volume on to hear calls, but not all of these tones.  Th

  • What is it with PC Suit?

    I've been using this with my 8800 for half an hour now, it is syncing with the phone (when I'm not clicking anything) about every 10 seconds. The Contact Browser thinks it knows better than me how I like the names in my phone book displayed so now th