Sip-configration

i have nokia e65 try many time register sip account for many site
but cant (i use 3g)
can any one help

Hi,
This is working as expected. The current connections are allowed to complete unless the server is unavailable. Below is from user guide:
In addition to putting a backup real server in inservice standby, another use of the inservice standby command is to provide the graceful shutdown of primary real servers. Use this command to gracefully shut down servers with sticky connections. When you enter this command for a primary real server, the ACE does the following:
•Tears down existing non-TCP connections to the server
•Allows current TCP connections to complete
•Allows new sticky connections for existing server connections that match entries in the sticky database
•Load balances all new connections (other than the matching sticky connections mentioned above) to the other servers in the server farm
•Eventually takes the server out of service
Let me know if that answers your question.
Regards,
Kanwal

Similar Messages

  • How to custom SIP header...

    someone can share some doc. about custom sip header?
    i look up some doc.but i can't understand how to do .....
    like configration guid.....
    thanks very much .... please help me ......

    Some good examples:
    http://www.cisco.com/en/US/products/sw/voicesw/ps5640/products_configuration_example09186a0080982499.shtml
    http://www.markholloway.com/blog/?p=912
    http://blog.ine.com/2010/08/20/sip-normalization-for-itsp-internetworking-using-cisco-unified-border-element-cube/
    There are donzes of others you can google for.
    HTH,
    Chris

  • Cisco SIP Phone 9971 won't register on CME 8.6 or 8.5 Please HELP

    Please help me , I have problem with registering Cisco SIP phone 9971 with CME 8.6 on ISR 2901.
    I configured CME for SIP clients, then I add configuration for 9971 phone and create profiles.  Phone downloaded SEP...xml file from CME,after that phone look for g4-tones.xml and gd-sip.jar files, I added them to CME after that phone downloaded them and reboot. Now phone is stuck in some kind of loop and does not register on CME.
    On phone log I can see repeting next few messeges.
    12:01:58a No DNS Server IP
    12:01:59a Updating Trust list
    12:01:59a No Trust List instaled
    12:01:59a SEP04C5AB03B0D.cnf.xml (TFTP)  // at this time phone download SEP...xml file from CME
    12:02:00a VPN Error: VPN is not Configured
    on CME if issue DEBUG TFTP EVENTS i receive next few lines
    *Aug 18 18:20:19.891: TFTP: Looking for CTLSEP04C5A4B03B0D.tlv
    *Aug 18 18:20:19.987: TFTP: Looking for ITLSEP04C5A4B03B0D.tlv
    *Aug 18 18:20:20.083: TFTP: Looking for ITLFile.tlv
    *Aug 18 18:20:20.347: TFTP: Looking for SEP04C5A4B03B0D.cnf.xml
    *Aug 18 18:20:20.351: TFTP: Opened flash:/SEP04C5A4B03B0D.cnf.xml, fd 14, size 4585 for process 141
    *Aug 18 18:20:20.363: TFTP: Finished flash:/SEP04C5A4B03B0D.cnf.xml, time 00:00:00 for process 141
    here you can see verison info of CME
    Cisco IOS Software, C2900 Software (C2900-UNIVERSALK9-M), Version 15.1(4)M, RELEASE SOFTWARE (fc1)
    Technical Support: http://www.cisco.com/techsupport
    Copyright (c) 1986-2011 by Cisco Systems, Inc.
    Compiled Thu 24-Mar-11 15:31 by prod_rel_team
    ROM: System Bootstrap, Version 15.0(1r)M9, RELEASE SOFTWARE (fc1)
    ELTOSAN_ROUTER uptime is 1 hour, 50 minutes
    System returned to ROM by reload at 16:29:20 UTC Thu Aug 18 2011
    System image file is "flash:/c2900-universalk9-mz.SPA.151-4.M.bin"
    Last reload type: Normal Reload
    Last reload reason: Reload Command
    Cisco CISCO2901/K9 (revision 1.0) with 471040K/53248K bytes of memory.
    Processor board ID FGL1508252Y
    3 Gigabit Ethernet interfaces
    2 terminal lines
    1 Virtual Private Network (VPN) Module
    4 Voice FXO interfaces
    4 Voice FXS interfaces
    1 Internal Services Module (ISM) with Services Ready Engine (SRE)
       Survivable Remote Site Voicemail (SRSV) on Cisco Unity Express (CUE) 8.5.1 in slot/sub-slot 0/0
    DRAM configuration is 64 bits wide with parity enabled.
    255K bytes of non-volatile configuration memory.
    254464K bytes of ATA System CompactFlash 0 (Read/Write)
    License Info:
    License UDI:
    Device#   PID                   SN
    *0        CISCO2901/K9          xxxxxxxxxxxxx
    Technology Package License Information for Module:'c2900'
    Technology    Technology-package          Technology-package
                  Current       Type          Next reboot
    ipbase        ipbasek9      Permanent     ipbasek9
    security      securityk9    Permanent     securityk9
    uc            uck9          Permanent     uck9
    data          None          None          None
    Configuration register is 0x2102
    this is RUNNING CONFIGURATION
    ! Last configuration change at 16:10:12 UTC Thu Aug 18 2011
    version 15.1
    service timestamps debug datetime msec
    service timestamps log datetime msec
    no service password-encryption
    hostname ELTOSAN_ROUTER
    boot-start-marker
    boot system flash:/c2900-universalk9-mz.SPA.151-4.M.bin
    boot-end-marker
    no aaa new-model
    no ipv6 cef
    ip source-route
    no ip routing
    no ip cef
    no ip dhcp use vrf connected
    ip dhcp excluded-address 192.168.5.1 192.168.5.10
    ip dhcp excluded-address 192.168.5.200 192.168.5.255
    ip dhcp pool phone
       network 192.168.5.0 255.255.255.0
       default-router 192.168.5.251
       option 150 ip 192.168.5.251
    ip dhcp pool data
       relay source 192.168.2.0 255.255.255.0
       relay destination 192.168.2.201
    multilink bundle-name authenticated
    crypto pki token default removal timeout 0
    voice-card 0
    voice service voip
    allow-connections h323 to h323
    allow-connections h323 to sip
    allow-connections sip to h323
    allow-connections sip to sip
    supplementary-service h450.12
    fax protocol pass-through g711alaw
    sip
      registrar server expires max 3600 min 120
    voice register global
    mode cme
    source-address 192.168.5.251 port 5060
    max-dn 6
    max-pool 6
    load 9971 sip9971.9-1-1SR1.loads
    authenticate register
    tftp-path flash:
    create profile sync 0005135312289902
    voice register dn  1
    number 207
    allow watch
    name GossaVM
    label 207
    voice register dn  3
    number 101
    name Dejan
    label 101
    mwi
    voice register pool  1
    id mac 000C.29C5.0011
    number 1 dn 1
    dtmf-relay sip-notify
    username testvm password testera
    codec g711alaw
    voice register pool  3
    id mac 04C5.A4B0.3B0D
    type 9971
    number 3 dn 3
    presence call-list
    dtmf-relay rtp-nte
    username dejan password 1234
    codec g711alaw
    no vad
    license udi pid CISCO2901/K9 sn xxxxxxxxxxxx
    hw-module ism 0
    hw-module pvdm 0/0
    redundancy
    interface GigabitEthernet0/0
    description INTERFACE INTERNAL
    no ip address
    no ip route-cache
    duplex auto
    speed auto
    no mop enabled
    interface GigabitEthernet0/0.2
    description LAN DATA
    encapsulation dot1Q 2
    ip address 192.168.2.251 255.255.255.0
    no ip route-cache
    interface GigabitEthernet0/0.5
    description LAN VOICE
    encapsulation dot1Q 5
    ip address 192.168.5.251 255.255.255.0
    no ip route-cache
    interface ISM0/0
    no ip address
    no ip route-cache
    shutdown
    !Application: SRSV-CUE Running on ISM
    interface GigabitEthernet0/1
    no ip address
    no ip route-cache
    shutdown
    duplex auto
    speed auto
    interface ISM0/1
    description Internal switch interface connected to Internal Service Module
    shutdown
    interface Vlan1
    no ip address
    no ip route-cache
    shutdown
    ip forward-protocol nd
    no ip http server
    no ip http secure-server
    snmp-server community public RO
    tftp-server flash:dkern9971.100609R2-9-1-1SR1.sebn alias dkern9971.100609R2-9-1-1SR1.sebn
    tftp-server flash:kern9971.9-1-1SR1.sebn alias kern9971.9-1-1SR1.sebn
    tftp-server flash:rootfs9971.9-1-1SR1.sebn alias rootfs9971.9-1-1SR1.sebn
    tftp-server flash:sboot9971.031610R1-9-1-1SR1.sebn alias sboot9971.031610R1-9-1-1SR1.sebn
    tftp-server flash:skern9971.022809R2-9-1-1SR1.sebn alias skern9971.022809R2-9-1-1SR1.sebn
    tftp-server flash:sip9971.9-1-1SR1.loads alias sip9971.9-1-1SR1.loads
    tftp-server flash:United_States/g4-tones.xml
    tftp-server flash:English_United_States/gd-sip.jar
    control-plane
    voice-port 0/0/0
    voice-port 0/0/1
    voice-port 0/0/2
    voice-port 0/0/3
    voice-port 0/1/0
    voice-port 0/1/1
    voice-port 0/1/2
    voice-port 0/1/3
    mgcp profile default
    gatekeeper
    shutdown
    line con 0
    line aux 0
    line 67
    no activation-character
    no exec
    transport preferred none
    transport input all
    transport output pad telnet rlogin lapb-ta mop udptn v120 ssh
    stopbits 1
    line vty 0 4
    password jebiga
    login
    transport input all
    end
    I did not have any kind of problem with X-LITE to register to CME. also try with few SCCP phones 7940  and I did not any kind of problem .
    this is content of SEP....xml file for 9971
    <device>
    <deviceProtocol>SIP</deviceProtocol>
    <devicePool>
    <dateTimeSetting>
    <dateTemplate>M/D/YA</dateTemplate>
    <timeZone>Pacific Standard/Daylight Time</timeZone>
    <ntps>
    <ntp priority="0">
    <name>0.0.0.0</name>
    <ntpMode>unicast</ntpMode>
    </ntp>
    </ntps>
    </dateTimeSetting>
    <callManagerGroup>
    <members>
    <member priority="0">
    <callManager>
    <ports>
    <sipPort>5060</sipPort>
    </ports>
    <processNodeName>192.168.5.251</processNodeName>
    </callManager>
    </member>
    </members>
    </callManagerGroup>
    </devicePool>
    <sipProfile>
    <sipProxies>
    <registerWithProxy>true</registerWithProxy>
    </sipProxies>
    <sipCallFeatures>
    <cnfJoinEnabled>true</cnfJoinEnabled>
    <localCfwdEnable>true</localCfwdEnable>
    <callForwardURI>service-uri-cfwdall</callForwardURI>
    <callPickupURI>service-uri-pickup</callPickupURI>
    <callPickupGroupURI>service-uri-gpickup</callPickupGroupURI>
    <callHoldRingback>2</callHoldRingback>
    <semiAttendedTransfer>true</semiAttendedTransfer>
    <anonymousCallBlock>2</anonymousCallBlock>
    <callerIdBlocking>2</callerIdBlocking>
    <dndControl>2</dndControl>
    <remoteCcEnable>true</remoteCcEnable>
    </sipCallFeatures>
    <sipStack>
    <remotePartyID>true</remotePartyID>
    </sipStack>
    <sipLines>
    <line button="1" lineIndex="1">
    <featureID>9</featureID>
    <featureLabel></featureLabel>
    <proxy>USECALLMANAGER</proxy>
    <port>5060</port>
    <name></name>
    <displayName></displayName>
    <autoAnswer>
    <autoAnswerEnabled>2</autoAnswerEnabled>
    </autoAnswer>
    <callWaiting>1</callWaiting>
    <authName>dejan</authName>
    <authPassword>1234</authPassword>
    <sharedLine>false</sharedLine>
    <messagesNumber></messagesNumber>
    <ringSettingActive>5</ringSettingActive>
    <forwardCallInfoDisplay>
    <callerName>true</callerName>
    <callerNumber>true</callerNumber>
    <redirectedNumber>true</redirectedNumber>
    <dialedNumber>true</dialedNumber>
    </forwardCallInfoDisplay>
    </line>
    <line button="2" lineIndex="2">
    <featureID>9</featureID>
    <featureLabel>101</featureLabel>
    <proxy>USECALLMANAGER</proxy>
    <port>5060</port>
    <name>101</name>
    <displayName>Dejan Rakic</displayName>
    <autoAnswer>
    <autoAnswerEnabled>2</autoAnswerEnabled>
    </autoAnswer>
    <callWaiting>1</callWaiting>
    <authName>dejan</authName>
    <authPassword>1234</authPassword>
    <sharedLine>false</sharedLine>
    <messagesNumber></messagesNumber>
    <ringSettingActive>5</ringSettingActive>
    <forwardCallInfoDisplay>
    <callerName>true</callerName>
    <callerNumber>true</callerNumber>
    <redirectedNumber>true</redirectedNumber>
    <dialedNumber>true</dialedNumber>
    </forwardCallInfoDisplay>
    </line>
    </sipLines>
    <enableVad>true</enableVad>
    <preferredCodec>g711alaw</preferredCodec>
    <dialTemplate></dialTemplate>
    <kpml>1</kpml>
    <phoneLabel></phoneLabel>
    <stutterMsgWaiting>2</stutterMsgWaiting>
    <disableLocalSpeedDialConfig>true</disableLocalSpeedDialConfig>
    <dscpForAudio>184</dscpForAudio>
    <dscpVideo>136</dscpVideo>
    </sipProfile>
    <commonProfile>
    <phonePassword>1234</phonePassword>
    <callLogBlfEnabled>2</callLogBlfEnabled>
    </commonProfile>
    <featurePolicyFile>featurePolicyDefault.xml</featurePolicyFile>
    <loadInformation>sip9971.9-1-1SR1.loads</loadInformation>
    <vendorConfig>
    </vendorConfig>
    <commonConfig>
    <videoCapability>0</videoCapability>
    <ciscoCamera>0</ciscoCamera>
    </commonConfig>
    <sshUserId>dejan</sshUserId>
    <sshPassword>1234</sshPassword>
    <userId></userId>
    <phoneServices>
    <provisioning>2</provisioning>
    <phoneService  type="1" category="0">
    <name>Missed Calls</name>
    <phoneLabel></phoneLabel>
    <url>Application:Cisco/MissedCalls</url>
    <vendor></vendor>
    <version></version>
    </phoneService>
    <phoneService  type="1" category="0">
    <name>Received Calls</name>
    <phoneLabel></phoneLabel>
    <url>Application:Cisco/ReceivedCalls</url>
    <vendor></vendor>
    <version></version>
    </phoneService>
    <phoneService  type="1" category="0">
    <name>Placed Calls</name>
    <phoneLabel></phoneLabel>
    <url>Application:Cisco/PlacedCalls</url>
    <vendor></vendor>
    <version></version>
    </phoneService>
    <phoneService  type="2" category="0">
    <name>Voicemail</name>
    <phoneLabel></phoneLabel>
    <url>Application:Cisco/Voicemail</url>
    <vendor></vendor>
    <version></version>
    </phoneService>
    </phoneServices>
    <versionStamp>0131511014412102</versionStamp>
    <userLocale>
    <name>English_United_States</name>
    <langCode>en</langCode>
    </userLocale>
    <networkLocale>United_States</networkLocale>
    <networkLocaleInfo>
    <name>United_States</name>
    </networkLocaleInfo>
    <authenticationURL></authenticationURL>
    <directoryURL></directoryURL>
    <servicesURL>http://192.168.5.251:80/CMEserverForPhone/serviceurl</servicesURL>
    <dscpForSCCPPhoneServices>0</dscpForSCCPPhoneServices>
    <dscpForCm2Dvce>96</dscpForCm2Dvce>
    <transportLayerProtocol>2</transportLayerProtocol>
    </device>

    Hello,
    I'm facing exactly the same problem, that is:
    a Cisco SIP Phone 9971 won't register on CME 8.6 running on a 2811
    I have read all the postings to this Forum, but I have not been able to solve it.
    In my case the commands voice register dn  and  voice register pool are OK.
    So frankly, I have no idea what I could be missing.
    I'm pasting the Router's config.
    I hope somebody is able to point me in the right direction.
    Here is the config.  Thank you!
    C2811#sh run
    Building configuration...
    version 15.1
    service timestamps debug datetime msec
    service timestamps log datetime msec
    no service password-encryption
    hostname C2811
    no aaa new-model
    dot11 syslog
    ip source-route
    ip cef
    ip dhcp excluded-address 172.25.140.1 172.25.140.10
    ip dhcp excluded-address 172.35.140.1 172.35.140.10
    ip dhcp pool Data
    network 172.25.140.0 255.255.255.0
    default-router 172.25.140.1
    option 150 ip 172.25.140.1
    dns-server 172.25.140.1
    ip dhcp pool Voice
    network 172.35.140.0 255.255.255.0
    default-router 172.35.140.1
    option 150 ip 172.35.140.1
    dns-server 172.35.140.1
    no ip domain lookup
    no ipv6 cef
    multilink bundle-name authenticated
    voice service voip
    allow-connections sip to sip
    sip
      registrar server expires max 3600 min 120
    voice register global
    mode cme
    source-address 172.25.140.1 port 5060
    max-dn 40
    max-pool 42
    load 9971 sip9971.9-4-1-9.loads
    authenticate register
    authenticate realm cisco
    tftp-path flash:
    create profile sync 0004820400584603
    voice register dn  1
    number 1010
    allow watch
    name Phone10
    label Phone10
    mwi
    voice register pool  1
    id mac 189C.5DB6.BD09
    type 9971
    number 1 dn 1
    presence call-list
    dtmf-relay rtp-nte
    username adm password adm
    call-forward b2bua busy 68600
    codec g711ulaw
    no vad
    camera
    video
    voice-card 0
    crypto pki token default removal timeout 0
    crypto pki trustpoint TP-self-signed-1879153754
    enrollment selfsigned
    subject-name cn=IOS-Self-Signed-Certificate-1879153754
    revocation-check none
    rsakeypair TP-self-signed-1879153754
    crypto pki certificate chain TP-self-signed-1879153754
    certificate self-signed 01
    (details ommited)
    license udi pid CISCO2811 sn FTX1146A44H
    username admin privilege 15 password 0 admin
    redundancy
    interface FastEthernet0/0
    no ip address
    duplex auto
    speed auto
    interface FastEthernet0/0.25
    description Data VLAN
    encapsulation dot1Q 25
    ip address 172.25.140.1 255.255.255.0
    interface FastEthernet0/0.35
    description Voice VLAN
    encapsulation dot1Q 35
    ip address 172.35.140.1 255.255.255.0
    interface FastEthernet0/1
    no ip address
    shutdown
    duplex auto
    speed auto
    ip forward-protocol nd
    ip http server
    ip http authentication local
    ip http secure-server
    ip http timeout-policy idle 600 life 86400 requests 10000
    tftp-server flash:P00308010200.bin
    tftp-server flash:P00308010200.sbn
    tftp-server flash:P00308010200.sb2
    tftp-server flash:P00308010200.loads
    tftp-server flash:SCCP42.9-3-1SR3-1S.loads
    tftp-server flash:apps42.9-3-1ES19.sbn
    tftp-server flash:cnu42.9-3-1ES19.sbn
    tftp-server flash:cvm42sccp.9-3-1ES19.sbn
    tftp-server flash:dsp42.9-3-1ES19.sbn
    tftp-server flash:jar42sccp.9-3-1ES19.sbn
    tftp-server flash:term42.default.loads
    tftp-server flash:term62.default.loads
    tftp-server flash:SCCP45.9-3-1SR3-1S.loads
    tftp-server flash:apps45.9-3-1ES19.sbn
    tftp-server flash:cnu45.9-3-1ES19.sbn
    tftp-server flash:cvm45sccp.9-3-1ES19.sbn
    tftp-server flash:dsp45.9-3-1ES19.sbn
    tftp-server flash:jar45sccp.9-3-1ES19.sbn
    tftp-server flash:term45.default.loads
    tftp-server flash:term65.default.loads
    tftp-server flash:/Ringtones/Ringlist.xml alias Ringlist.xml
    tftp-server flash:/Ringtones/DistinctiveRingList.xml alias DistinctiveRingList.x
    ml
    tftp-server flash:sip9971.9-4-1-9.loads
    tftp-server flash:kern9971.9-4-1-9.sebn
    tftp-server flash:rootfs9971.9-4-1-9.sebn
    tftp-server flash:dkern9971.100609R2-9-4-1-9.sebn
    tftp-server flash:sboot9971.031610R1-9-4-1-9.sebn
    tftp-server flash:skern9971.022809R2-9-4-1-9.sebn
    tftp-server flash:/g4-tones.xml alias United_States/g4-tones.xml
    tftp-server flash:/gd-sip.jar alias English_United_States/gd-sip.jar
    control-plane
    mgcp profile default
    telephony-service
    max-ephones 24
    max-dn 48
    ip source-address 172.25.140.1 port 2000
    cnf-file location flash:
    load 7960-7940 P00308010200
    load 7942 SCCP42.9-3-1SR3-1S.loads
    load 7945 SCCP45.9-3-1SR3-1S.loads
    load 7962 SCCP42.9-3-1SR3-1S.loads
    load 7965 SCCP45.9-3-1SR3-1S.loads
    max-conferences 8 gain -6
    dn-webedit
    transfer-system full-consult
    create cnf-files version-stamp 7960 Feb 11 2014 07:18:32
    ephone-dn  1
    number 1001
    description Phone 1
    name Phone 1
    hold-alert 30 originator
    ephone-dn  2
    number 1002
    description Phone 2
    name Phone 2
    hold-alert 30 originator
    ephone-dn  3
    number 1003
    description Phone 3
    name Phone 3
    hold-alert 30 originator
    ephone  1
    device-security-mode none
    mac-address 001C.58FB.6E0F
    button  1:1
    ephone  2
    device-security-mode none
    mac-address 0014.A981.7F8A
    button  1:2
    ephone  3
    device-security-mode none
    mac-address 0006.5356.A4B8
    button  1:3
    alias exec con conf t
    alias exec sib show ip int brief
    alias exec srb show run | b
    alias exec sri show run int
    line con 0
    exec-timeout 0 0
    logging synchronous
    line aux 0
    line vty 0 4
    privilege level 15
    login local
    transport input telnet ssh
    transport output telnet ssh
    line vty 5 15
    privilege level 15
    login local
    transport input telnet ssh
    transport output telnet ssh
    scheduler allocate 20000 1000
    ntp master 1
    end
    C2811#

  • Unable to call using sip communicator

    i am using sip communicator.to run it i ve installed ant and jdk1.4 .also set the path.then i run the bat file of sip communicator and configure it also.bt i cnt established the call.thr is some errors.
    again i am using my sip server.its domain name is bangla.net.in the sip-communicator.xml file i ve make some changes.its my xml file
    <?xml version="1.0" encoding="UTF-8"?>
    <configuration>
    <log4j>
    <rootLogger value="net.java.sip.communicator.common.Console.TraceLevel, RFLogger"/>
    <appender>
    <RFLogger value="org.apache.log4j.RollingFileAppender">
    <layout value="org.apache.log4j.PatternLayout">
    <ConversionPattern value="%r [%t] %p %c{2} %x - %m%n"/>
    </layout>
    <MaxBackupIndex value="1"/>
    <File value="log/sip-communicator.app.log"/>
    <MaxFileSize value="256KB"/>
    </RFLogger>
    </appender>
    </log4j>
    <net>
    <java>
    <sip>
    <communicator>
    <FIRST_LAUNCH value="false"/>
    <ENABLE_SIMPLE value="false"/>
    <media>
    <!--- <PREFERRED_AUDIO_ENCODING system="false" value=""/> -->
    <PREFERRED_AUDIO_ENCODING value="0"/>
    <PREFERRED_VIDEO_ENCODING value="26"/>
    <MEDIA_SOURCE value=""/>
    <MEDIA_BUFFER_LENGTH value="100"/>
    <IP_ADDRESS value=""/>
    <AUDIO_PORT value="22224"/>
    <VIDEO_PORT value=""/>
    </media>
    <sip>
    <PUBLIC_ADDRESS value="sip:[email protected]"/>
    <TRANSPORT value=""/>
    <REGISTRAR_ADDRESS value="192.168.110.33"/>
    <USER_NAME value="20"/>
    <STACK_PATH value="gov.nist"/>
    <PREFERRED_LOCAL_PORT value=""/>
    <DISPLAY_NAME value="pranti"/>
    <REGISTRAR_TRANSPORT value="UDP"/>
    <REGISTRATIONS_EXPIRATION value="3600"/>
    <REGISTRAR_PORT value="5060"/>
    <FAIL_CALLS_ON_DEST_USER_MISMATCH value="false"/>
    <DEFAULT_DOMAIN_NAME value="bangla.net"/>
    <DEFAULT_AUTHENTICATION_REALM value="bangla.net"/>
    <WAIT_UNREGISTGRATION_FOR value="1100"/>
    <SAME_USER_EVERYWHERE value="true"/>
    <simple>
    <CONTACT_LIST_FILE value="contact-list.xml"/>
    <SUBSCRIPTION_EXP_TIME value="600"/>
    <MIN_EXP_TIME value="120"/>
    <LAST_SELECTED_OPEN_STATUS value="online"/>
    </simple>
    </sip>
    <!--
    net.java.sip.communicator.sipphone.IS_RUNNING_SIPPHONE=false
    net.java.sip.communicator.sipphone.MY_SIPPHONE_URL=http://my.sipphone.com
    -->
    <sipphone>
    <IS_RUNNING_SIPPHONE value="false"/>
    <MY_SIPPHONE_URL value="http://my.sipphone.com"/>
    </sipphone>
    <!--
    net.java.sip.communicator.gui.AUTH_WIN_TITLE=SIP Authentication!
    net.java.sip.communicator.gui.AUTHENTICATION_PROMPT=Please enter login name and password for the specified realm:
    net.java.sip.communicator.gui.USER_NAME_LABEL=SIPphone Number:
    net.java.sip.communicator.sipphone.USER_NAME_EXAMPLE=Example: 1-747-555-1212
    net.java.sip.communicator.gui.PASSWORD_LABEL=Password:
    -->
    <gui>
    <AUTH_WIN_TITLE value="SIP Authentication!"/>
    <AUTHENTICATION_PROMPT value="Please enter login name and password for the specified realm:"/>
    <USER_NAME_LABEL value="User Name:"/>
    <USER_NAME_EXAMPLE value="Example: 1-747-555-1212"/>
    <PASSWORD_LABEL value="Password:"/>
    <GUI_MODE value="PhoneUiMode"/>
    <!--GUI_MODE value="ImUiMode"/-->
    <imp>
    <CONTACT_LIST_X value=""/>
    <CONTACT_LIST_Y value=""/>
    <CONTACT_LIST_WIDTH value=""/>
    <CONTACT_LIST_HEIGHT value=""/>
    </imp>
    </gui>
    <common>
    <PREFERRED_NETWORK_INTERFACE value="VIA Rhine II Fast Ethernet Adapter"/>
    <PREFERRED_NETWORK_ADDRESS value="192.168.110.26"/>
    </common>
    <!--
    net.java.sip.communicator.STUN_SERVER_ADDRESS=stun01.sipphone.com
    net.java.sip.communicator.STUN_SERVER_PORT=3478
    net.java.sip.communicator.VOICE_MAIL_ADDRESS=17475551212
    -->
    <STUN_SERVER_ADDRESS value="stun01.sipphone.com"/>
    <STUN_SERVER_PORT value="3478"/>
    <VOICE_MAIL_ADDRESS value="17475551212"/>
    </communicator>
    </sip>
    </java>
    </net>
    <gov>
    <nist>
    <javax>
    <sip>
    <SERVER_LOG value="log/sip-communicator.stack.log"/>
    <TRACE_LEVEL value="16"/>
    </sip>
    </javax>
    </nist>
    </gov>
    <javax>
    <sip>
    <IP_ADDRESS value="192.168.110.26"/>
    <STACK_NAME value="sip-communicator"/>
    <ROUTER_PATH value="net.java.sip.communicator.sip.SipCommRouter"/>
    <OUTBOUND_PROXY value="bangla.net:5060/udp"/>
    <RETRANSMISSON_FILTER value=""/>
    <EXTENSION_METHODS value=""/>
    <RETRANSMISSION_FILTER value="true"/>
    </sip>
    </javax>
    <java>
    <net>
    <preferIPv4Stack system="true" value="true"/>
    <preferIPv6Addresses system="true" value="false"/>
    </net>
    </java>
    </configuration>
    bt thr are still error
    the errors are givenbelow.
    net.java.sip.communicator.sip.CommunicationsException: Failed to create inviteTransaction.
    This is most probably a network connection error.
         at net.java.sip.communicator.sip.CallProcessing.invite(CallProcessing.java:883)
         at net.java.sip.communicator.sip.SipManager.establishCall(SipManager.java:681)
         at net.java.sip.communicator.SipCommunicator.handleDialRequest(SipCommunicator.java:379)
         at net.java.sip.communicator.gui.GuiManager.dialButton_actionPerformed(GuiManager.java:342)
         at net.java.sip.communicator.gui.GuiManager$1.actionPerformed(GuiManager.java:612)
         at javax.swing.AbstractButton.fireActionPerformed(Unknown Source)
         at javax.swing.AbstractButton$ForwardActionEvents.actionPerformed(Unknown Source)
         at javax.swing.DefaultButtonModel.fireActionPerformed(Unknown Source)
         at javax.swing.DefaultButtonModel.setPressed(Unknown Source)
         at javax.swing.plaf.basic.BasicButtonListener.mouseReleased(Unknown Source)
         at java.awt.Component.processMouseEvent(Unknown Source)
         at java.awt.Component.processEvent(Unknown Source)
         at java.awt.Container.processEvent(Unknown Source)
         at java.awt.Component.dispatchEventImpl(Unknown Source)
         at java.awt.Container.dispatchEventImpl(Unknown Source)
         at java.awt.Component.dispatchEvent(Unknown Source)
         at java.awt.LightweightDispatcher.retargetMouseEvent(Unknown Source)
         at java.awt.LightweightDispatcher.processMouseEvent(Unknown Source)
         at java.awt.LightweightDispatcher.dispatchEvent(Unknown Source)
         at java.awt.Container.dispatchEventImpl(Unknown Source)
         at java.awt.Window.dispatchEventImpl(Unknown Source)
         at java.awt.Component.dispatchEvent(Unknown Source)
         at java.awt.EventQueue.dispatchEvent(Unknown Source)
         at java.awt.EventDispatchThread.pumpOneEvent(Unknown Source)
         at java.awt.EventDispatchThread.pumpEvents(Unknown Source)
         at java.awt.EventDispatchThread.pumpEvents(Unknown Source)
         at java.awt.EventDispatchThread.run(Unknown Source)
    Caused by: javax.sip.TransactionUnavailableException: Could not resolve next hop or listening point unavailable!
         at gov.nist.javax.sip.SipProviderImpl.getNewClientTransaction(SipProviderImpl.java:351)
         at net.java.sip.communicator.sip.CallProcessing.invite(CallProcessing.java:876)
    please tell me wht kind of error it is.why i cnt make the

    Did you find out what caused the error??

  • Snom phones in secondary subnet unable to call out - SIP CANCEL in SIP log

    I've been trying to diagnose this very strange problem we are having. All our servers and some SNOM phones are in the subnet 192.168.100.0, the main building. They all work fine. Phones located in two other buildings connected with high-speed fiber use subnets
    192.168.1.0 and 192.168.200.0. They can receive calls but are unable to call out. This doesn't affect the Lync 2010 and 2013 desktop clients with enterprise voice...they work fine anywhere, even externally.
    We are running Lync Server 2013 Standard Edition, with the latest updates applied. Mediation role is co-located. Edge server is setup and I think I have configured everything correctly. I have two network adapters, one external facing and one internal facing.
    External facing one has dns settings and gateway, internal facing has neither. I have setup persistent routes that enable the edge server to ping hosts in 1.0 and 200.0 no problem. DNS is setup internally so anyone anywhere can ping the edge server (its dns
    entry is routable lync2013edge.network.domain.ca). Phones used are the SNOM 720, I have the latest updates applied (8.8.3.27 UC)
    On the actual SNOM phone, I will dial 7804636201. It will call and start ringing the other party. Almost exactly 10 seconds later I will hear a busy signal and then the phone displays "Media Connectivity Failure". I ran a log on SIP from the FE
    Standard Edition server, here are some entries that I noticed that may have something to do with it (see bottom four paragraphs for SIP CANCEL)
    TL_VERBOSE(TF_PARSE) [0]411C.2DE8::02/24/2015-17:23:42.240.0008db5d (SIPStack,CSIPMessage::ParseBufferChain:SIPMessage.cpp(694))( 0000005F03D806F0 ) Start Line:  INVITE sip:7804636201;[email protected];user=phone SIP/2.0
    TL_INFO(TF_PROTOCOL) [0]411C.2DE8::02/24/2015-17:23:42.269.0009106f (SIPStack,SIPAdminLog::ProtocolRecord::Flush:ProtocolRecord.cpp(265))[3706963737] $$begin_record
    Trace-Correlation-Id: 3706963737
    Instance-Id: 2F91
    Direction: outgoing
    Peer: lync2013.network.caedm.ca:5070
    Message-Type: request
    Start-Line: INVITE sip:[email protected]:5070;user=phone;maddr=lync2013.network.caedm.ca SIP/2.0
    From: "Joel Smith" <sip:[email protected]>;tag=2ksjs48fxg;epid=000413774E0401
    To: <sip:7804636201;[email protected];user=phone>
    Call-ID: 3faa35f677ef48719b27c796251b0519
    CSeq: 1 INVITE
    Contact: <sip:[email protected];opaque=user:epid:cO0WSS9wCFqUnP0dpEh6uQAA;gruu>;reg-id=1
    Via: SIP/2.0/TLS 192.168.100.17:55489;branch=z9hG4bKE036484A.405BD23C943B158E;branched=TRUE
    Via: SIP/2.0/TLS 192.168.1.201:51470;branch=z9hG4bK-fdh7rhbbvsri;rport;ms-received-port=51470;ms-received-cid=600
    Record-Route: <sip:Lync2013.network.caedm.ca:5061;transport=tls;opaque=state:T;lr>;tag=B39FB8145D545F357B2737F43833CEB4
    Max-Forwards: 69
    Content-Length: 3563
    Content-Type: multipart/alternative;boundary="next_part_u00iwyrezkkuxf3d"
    P-Asserted-Identity: "Joel Smith"<tel:+17808092404;ext=2404>
    Message-Body: --next_part_u00iwyrezkkuxf3d
    Content-Type: application/sdp
    Content-Transfer-Encoding: 7bit
    Content-Dis; handling=optional; ms-proxy-2007fallback
    TL_INFO(TF_DIAG) [0]411C.2DE8::02/24/2015-17:23:42.270.000915ec (SIPStack,SIPAdminLog::WriteDiagnosticEvent:SIPAdminLog.cpp(802))[3706963737] $$begin_record
    Severity: information
    Text: Routed a locally generated response
    SIP-Start-Line: SIP/2.0 100 Trying
    SIP-Call-ID: 3faa35f677ef48719b27c796251b0519
    SIP-CSeq: 1 INVITE
    Peer: 192.168.1.201:51470
    Data: destination="[email protected]"
    $$end_record
    TL_INFO(TF_PROTOCOL) [0]411C.2DE8::02/24/2015-17:23:42.274.000928d4 (SIPStack,SIPAdminLog::ProtocolRecord::Flush:ProtocolRecord.cpp(265))[3706963737] $$begin_record
    Trace-Correlation-Id: 3706963737
    Instance-Id: 2F93
    Direction: outgoing;source="local"
    Peer: 192.168.1.201:51470
    Message-Type: response
    Start-Line: SIP/2.0 101 Progress Report
    From: "Joel Smith" <sip:[email protected]>;tag=2ksjs48fxg;epid=000413774E0401
    To: <sip:7804636201;[email protected];user=phone>
    Call-ID: 3faa35f677ef48719b27c796251b0519
    CSeq: 1 INVITE
    Via: SIP/2.0/TLS 192.168.1.201:51470;branch=z9hG4bK-fdh7rhbbvsri;rport;ms-received-port=51470;ms-received-cid=600
    Content-Length: 0
    ms-diagnostics: 12006;reason="Trying next hop";source="LYNC2013.NETWORK.CAEDM.CA";PhoneUsage="Long Distance";PhoneRoute="LocalRoute";Gateway="208.68.17.53";appName="OutboundRouting"
    $$end_record
    TL_INFO(TF_PROTOCOL) [1]411C.2DE8::02/24/2015-17:23:42.488.000930bc (SIPStack,SIPAdminLog::ProtocolRecord::Flush:ProtocolRecord.cpp(265))[741182734] $$begin_record
    Trace-Correlation-Id: 741182734
    Instance-Id: 2F96
    Direction: incoming
    Peer: lync2013.network.caedm.ca:5070
    Message-Type: response
    Start-Line: SIP/2.0 183 Session Progress
    FROM: "Joel Smith"<sip:[email protected]>;tag=2ksjs48fxg;epid=000413774E0401
    TO: <sip:7804636201;[email protected];user=phone>;tag=d265bdc1c8;epid=0A24894D6D
    CALL-ID:  3faa35f677ef48719b27c796251b0519
    CSEQ: 1 INVITE
    CONTACT:  <sip:[email protected];gruu;opaque=srvr:MediationServer:0wzNMLUTNFKXO5KjW1mbdQAA>;isGateway
    VIA:  SIP/2.0/TLS 192.168.100.17:55489;branch=z9hG4bKE036484A.405BD23C943B158E;branched=TRUE,SIP/2.0/TLS 192.168.1.201:51470;branch=z9hG4bK-fdh7rhbbvsri;rport;ms-received-port=51470;ms-received-cid=600
    RECORD-ROUTE:  <sip:Lync2013.network.caedm.ca:5061;transport=tls;opaque=state:T;lr>;tag=B39FB8145D545F357B2737F43833CEB4
    CONTENT-LENGTH:  1388
    CONTENT-TYPE:  application/sdp
    TL_VERBOSE(TF_NETWORK) [0]411C.2DE8::02/24/2015-17:23:51.369.00098f6b (SIPStack,CRecvContext::CreateIncomingRequest:RecvContext.cpp(920))[3030787245]( 0000005F01E739D0 ) creating SIP_MID_CANCEL request
    TL_VERBOSE(TF_PARSE) [0]411C.2DE8::02/24/2015-17:23:51.369.00098f90 (SIPStack,CSIPMessage::ParseBufferChain:SIPMessage.cpp(694))( 0000005F03D7E2E0 ) Start Line:  CANCEL sip:7804636201;[email protected];user=phone SIP/2.0
    TL_VERBOSE(TF_PARSE) [0]411C.2DE8::02/24/2015-17:23:51.369.00099054 (SIPStack,CSIPMessage::ParseNextHeader:SIPMessage.cpp(1532))( 0000005F03D7E2E0 ) Found Header:  Reason: SIP;cause=488;text="Media Connectivity Failure"
    TL_INFO(TF_PROTOCOL) [0]411C.2DE8::02/24/2015-17:23:51.369.000990c6 (SIPStack,SIPAdminLog::ProtocolRecord::Flush:ProtocolRecord.cpp(265))[3706963737] $$begin_record
    Trace-Correlation-Id: 3706963737
    Instance-Id: 2FA0
    Direction: incoming
    Peer: 192.168.1.201:51470
    Message-Type: request
    Start-Line: CANCEL sip:7804636201;[email protected];user=phone SIP/2.0
    From: "Joel Smith" <sip:[email protected]>;tag=2ksjs48fxg;epid=000413774E0401
    To: <sip:7804636201;[email protected];user=phone>
    Call-ID:  3faa35f677ef48719b27c796251b0519
    CSeq: 1 CANCEL
    Via:  SIP/2.0/TLS 192.168.1.201:51470;branch=z9hG4bK-fdh7rhbbvsri;rport
    Max-Forwards:  70
    Content-Length:  0
    $$end_record
    I thought it might be a timeout issue, so I tried following these steps located here:
    http://ipfone.hu/lync-mediation-server-cancel-problem/ After rebooting the server no changes were noticed.
    I also checked out this website
    http://blog.insidelync.com/2013/04/sip-trunking-101-with-lync-server-2013/ regarding disabling the check box "enable outbound routing failover timeout". Doing that had no effect.
    Any other ideas would be appreciated.

    Hi,
    yes I see the config file is very simple and standard.
    So the issue with snom on branch sites is random, it's correct?
    From what I read in your answer, sometimes you can establish a correct communication between a snom and the called number +17804636201.
    Have you tried to collect a network capture on a snom at branch location?
    Do you have some other version of snom phone (300, 710, 821) to test?
    Do you have some LPE ip-phone (Polycom CX600 o HP4110-4120) to test?
    Regards
    Luca
    Luca Vitali | MCITP Lync/Exchange | snom Certified Engineer | Sonus SBC1000 Engineer

  • No SIP 200 OK after Q.931 CONNECT

    Cisco AS 5350 configured as H.323 Gateway on CUCM.
    Call flows from SIP to H.323.
    Inbound dial-peer
    dial-peer voice 43 voip
     session protocol sipv2
     session target ipv4:10.20.8.11
     incoming called-number 322####04
     voice-class codec 1
    Outbound dial-peer
    dial-peer voice 103 voip
     destination-pattern 322####04
     session target ipv4:10.20.8.6
     voice-class codec 1
    I see messages exchange
    SIP: Recieved INVITE -> Sent 100 Trying
    Q.931: SETUP -> CALL_PROC -> ALERTING
    SIP: Sent 180 Ringing
    Q.931: NOTIFY
    SIP: Recieved PRACK -> Sent 200 OK   -> Sent UPDATE -> Recieved 200 OK 
    I pick up the phone
    Q.931: CONNECT -> NOTIFY
    And then Nothing! No SIP signaling. No 200 OK.
    As a result I hear ring back on the calling side, and silence on the called one.
    Please, help. What's wrong with it?
    Oct  1 09:35:47.692: h323chan_chn_process_read_socket: fd=2 of type CONNECTED has data
    Hex representation of the SETUP TPKT received: 08028DAD0704038090A24C060081313130307E006B0522C0060008914A0005000A1408069F4B22C0B50000120F436973636F43616C6C4D616E6167657200310000F42F50F1CAB1421E0254020A140E041D0C001100277F9807488511E4833DE2C8A6D0856E0100010010A00100120140B50000120B8204020004000103000100
      Q931 Message IE Decodes
    Protocol Discriminator : 0x08
    CRV Length             : 2
    CRV Value              : 0x8DAD
    Message Type           : 0x07: CONNECT
     Bearer Capability: Length Of IE=3
     Data 8090A2
     Connected Number: Length Of IE=6
     Data 008131313030
     User-User: Length Of IE=107
     Data 0522C0060008914A0005000A1408069F4B22C0B50000120F436973636F43616C6C4D616E6167657200310000F42F50F1CAB1421E0254020A140E041D0C001100277F9807488511E4833DE2C8A6D0856E0100010010A00100120140B50000120B8204020004000103000100h225ParseData: Q.931 CONNECT received on fd=2
    Oct  1 09:35:47.692: Changing to new event: CONNECT
    h323chan_chn_connect: connecting to 10.20.8.6:40779
    Oct  1 09:35:47.692: h323chan_gw_conn: Created socket fd=3
    Oct  1 09:35:47.692: h323chan_gw_conn: connect in progress on fd=3h323chan_chn_connect: using fd=3, owner_data(ccb) 0x69BFC32C
    changing from NONE state to CONNECTING state
    Oct  1 09:35:47.692: h323chan_chn_process_read_socket: fd=2 of type CONNECTED has data
    Hex representation of the SETUP TPKT received: 08028DAD6E2701F14C060081313130307E00210528501900060008914A000500277F9807488511E4833DE2C8A6D0856E10800100
     Q931 Message IE Decodes
    Protocol Discriminator : 0x08
    CRV Length             : 2
    CRV Value              : 0x8DAD
    Message Type           : 0x6E: NOTIFY
     Notification Ind: Length Of IE=1
     Data F1
     Connected Number: Length Of IE=6
     Data 008131313030
     User-User: Length Of IE=33
     Data 0528501900060008914A000500277F9807488511E4833DE2C8A6D0856E10800100h225ParseData: Q.931 NOTIFY received on fd=2
    Oct  1 09:35:47.692: //-1/xxxxxxxxxxxx/SIP/Error/ccsip_call_service_msg: ccb NULL, unable to update the callinfo ui parameters
    Oct  1 09:35:47.692: h323chan_chn_process_read_socket: fd=3 of type CONNECT_PENDING has data
    Oct  1 09:35:47.692: Changing to new event: CONNECTED
    hq_as5350xm_gw1#changing from CONNECTING state to CONNECTED state
    Oct  1 09:35:47.692: h323chan_chn_process_read_socket: fd=3 of type CONNECTED has data
    Oct  1 09:35:47.692: h323chan_recvdata: No Data on fd=3
    PROCESS_READ: FAILED/NOT COMPLETE,rc 10, fd=3

    Please configure the ff and send the logs
    service sequence-numbers
    service timestamps debug datetime localtime msec
    logging buffered 10000000 debug
    no logging console
    no logging monitor
    default logging rate-limit
    default logging queue-limit
    Then..
    <Enable debugs, then test again.>
    debug ccsip messages
    debug h225 asn1
    debug h245 asn1
    <Enable session capture to txt file in terminal program.> (such as Putty)
    then do the ff:
    terminal length 0
    show logging

  • Deploy sip servlet to Occas5.0(weblogic) occurs exception: com.bea.wcp.sip.engine.server.setup.SipAnnotationParsingException

    hi,
    I install Occas on OS win7 64bit, jdk 1.6.0.45.
    I got the following error message while I start Occas server:
    because error occurs when parsing sip related annotations of "testservicecomplexobject-application"
    WLST-WLS-1396579151484: com.bea.wcp.sip.engine.server.setup.SipAnnotationParsingException
    at com.bea.wcp.sip.engine.server.setup.SipAnnotationData.<init><SipAnnotationData.java:155>
    Also, when I deploy a sip servlet package(sar) to the Occas server, after deploy finish, at the deployment manager page,
    health term is none.
    and also I found many error info in AdminServer/logs/domain.log as below blue font:
    ####<Apr 4, 2014 11:09:21 AM CST> <Error> <WLSS.Setup> <E76C3BE51B4188> <AdminServer> <[ACTIVE] ExecuteThread: '5' for queue: 'weblogic.kernel.Default (self-tuning)'> <<WLS Kernel>> <> <> <1396580961513> <BEA-331210> <Skip SIP related logic, because error occurs when parsing sip related annotations of "b2bua-sip-servlet-1.0.0-SNAPSHOT"
    com.bea.wcp.sip.engine.server.setup.SipAnnotationParsingException:
        at com.bea.wcp.sip.engine.server.setup.SipAnnotationData.<init>(SipAnnotationData.java:155)
        at com.bea.wcp.sip.util.DeploymentUtil.getOrCreateAnnotationData(DeploymentUtil.java:74)
        at com.bea.wcp.sip.util.DeploymentUtil.getAnnotationData(DeploymentUtil.java:89)
        at com.bea.wcp.sip.engine.server.SipServerTailModule$1.visit(SipServerTailModule.java:129)
        at com.bea.wcp.sip.engine.server.SipServerTailModule.visitAllContexts(SipServerTailModule.java:112)
        at com.bea.wcp.sip.engine.server.SipServerTailModule.initialize(SipServerTailModule.java:137)
        at com.bea.wcp.sip.engine.server.SipServerTailModule.prepare(SipServerTailModule.java:69)
        at weblogic.application.internal.flow.DeploymentCallbackFlow$1.next(DeploymentCallbackFlow.java:507)
        at weblogic.application.utils.StateMachineDriver.nextState(StateMachineDriver.java:41)
        at weblogic.application.internal.flow.DeploymentCallbackFlow.prepare(DeploymentCallbackFlow.java:149)
        at weblogic.application.internal.flow.DeploymentCallbackFlow.prepare(DeploymentCallbackFlow.java:45)
        at weblogic.application.internal.BaseDeployment$1.next(BaseDeployment.java:1221)
        at weblogic.application.utils.StateMachineDriver.nextState(StateMachineDriver.java:41)
        at weblogic.application.internal.BaseDeployment.prepare(BaseDeployment.java:367)
        at weblogic.application.internal.SingleModuleDeployment.prepare(SingleModuleDeployment.java:43)
        at weblogic.application.internal.DeploymentStateChecker.prepare(DeploymentStateChecker.java:154)
        at weblogic.deploy.internal.targetserver.AppContainerInvoker.prepare(AppContainerInvoker.java:60)
        at weblogic.deploy.internal.targetserver.operations.ActivateOperation.createAndPrepareContainer(ActivateOperation.java:207)
        at weblogic.deploy.internal.targetserver.operations.ActivateOperation.doPrepare(ActivateOperation.java:98)
        at weblogic.deploy.internal.targetserver.operations.AbstractOperation.prepare(AbstractOperation.java:217)
        at weblogic.deploy.internal.targetserver.DeploymentManager.handleDeploymentPrepare(DeploymentManager.java:747)
        at weblogic.deploy.internal.targetserver.DeploymentManager.prepareDeploymentList(DeploymentManager.java:1216)
        at weblogic.deploy.internal.targetserver.DeploymentManager.handlePrepare(DeploymentManager.java:250)
        at weblogic.deploy.internal.targetserver.DeploymentServiceDispatcher.prepare(DeploymentServiceDispatcher.java:159)
        at weblogic.deploy.service.internal.targetserver.DeploymentReceiverCallbackDeliverer.doPrepareCallback(DeploymentReceiverCallbackDeliverer.java:171)
        at weblogic.deploy.service.internal.targetserver.DeploymentReceiverCallbackDeliverer.access$000(DeploymentReceiverCallbackDeliverer.java:13)
        at weblogic.deploy.service.internal.targetserver.DeploymentReceiverCallbackDeliverer$1.run(DeploymentReceiverCallbackDeliverer.java:46)
        at weblogic.work.SelfTuningWorkManagerImpl$WorkAdapterImpl.run(SelfTuningWorkManagerImpl.java:528)
        at weblogic.work.ExecuteThread.execute(ExecuteThread.java:201)
        at weblogic.work.ExecuteThread.run(ExecuteThread.java:173)
    Caused By: java.lang.LinkageError: loader constraint violation: when resolving overridden method "antlr.debug.LLkDebuggingParser.removeMessageListener(Lantlr/debug/MessageListener;)V" the class loader (instance of weblogic/utils/classloaders/ChangeAwareClassLoader) of the current class, antlr/debug/LLkDebuggingParser, and its superclass loader (instance of sun/misc/Launcher$AppClassLoader), have different Class objects for the type antlr/debug/MessageListener used in the signature
        at java.lang.Class.getDeclaredMethods0(Native Method)
        at java.lang.Class.privateGetDeclaredMethods(Class.java:2436)
        at java.lang.Class.privateGetPublicMethods(Class.java:2556)
        at java.lang.Class.getMethods(Class.java:1412)
        at com.bea.wcp.sip.engine.server.setup.SipAnnotationData.classAnnotationParsing(SipAnnotationData.java:344)
        at com.bea.wcp.sip.engine.server.setup.SipAnnotationData.jarAnnotationParsing(SipAnnotationData.java:288)
        at com.bea.wcp.sip.engine.server.setup.SipAnnotationData.annotationParsing(SipAnnotationData.java:223)
        at com.bea.wcp.sip.engine.server.setup.SipAnnotationData.<init>(SipAnnotationData.java:144)
        at com.bea.wcp.sip.util.DeploymentUtil.getOrCreateAnnotationData(DeploymentUtil.java:74)
        at com.bea.wcp.sip.util.DeploymentUtil.getAnnotationData(DeploymentUtil.java:89)
        at com.bea.wcp.sip.engine.server.SipServerTailModule$1.visit(SipServerTailModule.java:129)
        at com.bea.wcp.sip.engine.server.SipServerTailModule.visitAllContexts(SipServerTailModule.java:112)
        at com.bea.wcp.sip.engine.server.SipServerTailModule.initialize(SipServerTailModule.java:137)
        at com.bea.wcp.sip.engine.server.SipServerTailModule.prepare(SipServerTailModule.java:69)
        at weblogic.application.internal.flow.DeploymentCallbackFlow$1.next(DeploymentCallbackFlow.java:507)
        at weblogic.application.utils.StateMachineDriver.nextState(StateMachineDriver.java:41)
        at weblogic.application.internal.flow.DeploymentCallbackFlow.prepare(DeploymentCallbackFlow.java:149)
        at weblogic.application.internal.flow.DeploymentCallbackFlow.prepare(DeploymentCallbackFlow.java:45)
        at weblogic.application.internal.BaseDeployment$1.next(BaseDeployment.java:1221)
        at weblogic.application.utils.StateMachineDriver.nextState(StateMachineDriver.java:41)
        at weblogic.application.internal.BaseDeployment.prepare(BaseDeployment.java:367)
        at weblogic.application.internal.SingleModuleDeployment.prepare(SingleModuleDeployment.java:43)
        at weblogic.application.internal.DeploymentStateChecker.prepare(DeploymentStateChecker.java:154)
        at weblogic.deploy.internal.targetserver.AppContainerInvoker.prepare(AppContainerInvoker.java:60)
        at weblogic.deploy.internal.targetserver.operations.ActivateOperation.createAndPrepareContainer(ActivateOperation.java:207)
        at weblogic.deploy.internal.targetserver.operations.ActivateOperation.doPrepare(ActivateOperation.java:98)
        at weblogic.deploy.internal.targetserver.operations.AbstractOperation.prepare(AbstractOperation.java:217)
        at weblogic.deploy.internal.targetserver.DeploymentManager.handleDeploymentPrepare(DeploymentManager.java:747)
        at weblogic.deploy.internal.targetserver.DeploymentManager.prepareDeploymentList(DeploymentManager.java:1216)
        at weblogic.deploy.internal.targetserver.DeploymentManager.handlePrepare(DeploymentManager.java:250)
        at weblogic.deploy.internal.targetserver.DeploymentServiceDispatcher.prepare(DeploymentServiceDispatcher.java:159)
        at weblogic.deploy.service.internal.targetserver.DeploymentReceiverCallbackDeliverer.doPrepareCallback(DeploymentReceiverCallbackDeliverer.java:171)
        at weblogic.deploy.service.internal.targetserver.DeploymentReceiverCallbackDeliverer.access$000(DeploymentReceiverCallbackDeliverer.java:13)
        at weblogic.deploy.service.internal.targetserver.DeploymentReceiverCallbackDeliverer$1.run(DeploymentReceiverCallbackDeliverer.java:46)
        at weblogic.work.SelfTuningWorkManagerImpl$WorkAdapterImpl.run(SelfTuningWorkManagerImpl.java:528)
        at weblogic.work.ExecuteThread.execute(ExecuteThread.java:201)
        at weblogic.work.ExecuteThread.run(ExecuteThread.java:173)
    >
    ####<Apr 4, 2014 11:09:21 AM CST> <Error> <WLSS.Engine> <E76C3BE51B4188> <AdminServer> <[ACTIVE] ExecuteThread: '5' for queue: 'weblogic.kernel.Default (self-tuning)'> <<WLS Kernel>> <> <> <1396580961523> <BEA-330004> <Failed to deploy SIP application "b2bua-sip-servlet-1.0.0-SNAPSHOT"
    java.lang.NullPointerException
        at com.bea.wcp.sip.engine.server.setup.SipDeploymentDescriptor.<init>(SipDeploymentDescriptor.java:285)
        at com.bea.wcp.sip.engine.server.setup.SipDeploymentDescriptor.parse(SipDeploymentDescriptor.java:148)
        at com.bea.wcp.sip.engine.server.CanaryContext.initContext(CanaryContext.java:396)
        at com.bea.wcp.sip.engine.server.CanaryContext.<init>(CanaryContext.java:334)
        at com.bea.wcp.sip.engine.server.CanaryServer.installContext(CanaryServer.java:1001)
        at com.bea.wcp.sip.engine.server.SipService.setupSipServletContext(SipService.java:126)
        at com.bea.wcp.sip.engine.server.SipServerTailModule$1.visit(SipServerTailModule.java:130)
        at com.bea.wcp.sip.engine.server.SipServerTailModule.visitAllContexts(SipServerTailModule.java:112)
        at com.bea.wcp.sip.engine.server.SipServerTailModule.initialize(SipServerTailModule.java:137)
        at com.bea.wcp.sip.engine.server.SipServerTailModule.prepare(SipServerTailModule.java:69)
        at weblogic.application.internal.flow.DeploymentCallbackFlow$1.next(DeploymentCallbackFlow.java:507)
        at weblogic.application.utils.StateMachineDriver.nextState(StateMachineDriver.java:41)
        at weblogic.application.internal.flow.DeploymentCallbackFlow.prepare(DeploymentCallbackFlow.java:149)
        at weblogic.application.internal.flow.DeploymentCallbackFlow.prepare(DeploymentCallbackFlow.java:45)
        at weblogic.application.internal.BaseDeployment$1.next(BaseDeployment.java:1221)
        at weblogic.application.utils.StateMachineDriver.nextState(StateMachineDriver.java:41)
        at weblogic.application.internal.BaseDeployment.prepare(BaseDeployment.java:367)
        at weblogic.application.internal.SingleModuleDeployment.prepare(SingleModuleDeployment.java:43)
        at weblogic.application.internal.DeploymentStateChecker.prepare(DeploymentStateChecker.java:154)
        at weblogic.deploy.internal.targetserver.AppContainerInvoker.prepare(AppContainerInvoker.java:60)
        at weblogic.deploy.internal.targetserver.operations.ActivateOperation.createAndPrepareContainer(ActivateOperation.java:207)
        at weblogic.deploy.internal.targetserver.operations.ActivateOperation.doPrepare(ActivateOperation.java:98)
        at weblogic.deploy.internal.targetserver.operations.AbstractOperation.prepare(AbstractOperation.java:217)
        at weblogic.deploy.internal.targetserver.DeploymentManager.handleDeploymentPrepare(DeploymentManager.java:747)
        at weblogic.deploy.internal.targetserver.DeploymentManager.prepareDeploymentList(DeploymentManager.java:1216)
        at weblogic.deploy.internal.targetserver.DeploymentManager.handlePrepare(DeploymentManager.java:250)
        at weblogic.deploy.internal.targetserver.DeploymentServiceDispatcher.prepare(DeploymentServiceDispatcher.java:159)
        at weblogic.deploy.service.internal.targetserver.DeploymentReceiverCallbackDeliverer.doPrepareCallback(DeploymentReceiverCallbackDeliverer.java:171)
        at weblogic.deploy.service.internal.targetserver.DeploymentReceiverCallbackDeliverer.access$000(DeploymentReceiverCallbackDeliverer.java:13)
        at weblogic.deploy.service.internal.targetserver.DeploymentReceiverCallbackDeliverer$1.run(DeploymentReceiverCallbackDeliverer.java:46)
        at weblogic.work.SelfTuningWorkManagerImpl$WorkAdapterImpl.run(SelfTuningWorkManagerImpl.java:528)
        at weblogic.work.ExecuteThread.execute(ExecuteThread.java:201)
        at weblogic.work.ExecuteThread.run(ExecuteThread.java:173)
    Can anyone give some suggession?
    Thanks in advance!
    BR//Margin

    Hi,
    I changed my jvm from sun jdk to latest jrockit and the issue was solved :)

  • Cisco 2811 SIP-to-SIP GW T.38 does not work!

    Hello!
    Diagram is something like this:  Softswitch(MERA) -->>-- Cisco2811 -->>-- Softswitch(MERA)   It's needed to limit traffic if one of SSWs is hacked. But it is not a subject. We just need such "construction".
    Previously there was Cisco 1760 instead of 2811, result was the same. So I exclude platform and IOS.
    1. Voice calls are sent and received fine in diagram above.
    2. Fax are passed good between two Softswitches if I exclude Cisco2811.
    3. Faxes are stopped immediately when I re-route voice traffic through Cisco2811 (in the same conditions on both Softswitches as in above paragraph 2. That is, Faxes are passed between Softswitches directly, I don't change anything on Softswitches, and I just re-route Voice from both Softswitches on C2811 - Faxes stop immediately).
    4. Relevant configuraion:
    voice service voip allow-connections sip to sip!!voice class uri  Centrex sip host ^10\.0\.99\.111$!voice class uri  RTU1 sip host ^10\.0\.99\.121$!voice class uri  RTU2 sip host ^10\.0\.99\.221$!!voice class codec 1 codec preference 1 g711alaw bytes 80 codec preference 2 clear-channel!!voice translation-rule 112 rule 1 /^000112\(.*\)$/ /\1/!voice translation-rule 999 rule 1 /^999\(.*\)$/ /000\1/!voice translation-rule 999112 rule 1 /^\(.*\)$/ /999112\1/!voice translation-profile 112 translate called 112!voice translation-profile 999 translate called 999!voice translation-profile 999112 translate called 999112!!interface FastEthernet0/0.18 encapsulation dot1Q 18 ip address 10.0.99.29 255.255.255.0 no snmp trap link-status!!dial-peer voice 999112 voip translation-profile incoming 999112 voice-class codec 1 session protocol sipv2 incoming uri from Centrex dtmf-relay rtp-nte fax-relay ecm disable fax rate 9600 fax nsf 000000 fax protocol t38 ls-redundancy 3 hs-redundancy 0 fallback pass-through g711alaw no vad!dial-peer voice 999 voip translation-profile outgoing 999 destination-pattern 999.+ voice-class codec 1 session protocol sipv2 session target ipv4:10.0.99.99 session transport udp dtmf-relay rtp-nte fax-relay ecm disable fax rate 9600 fax nsf 000000 fax protocol t38 ls-redundancy 3 hs-redundancy 0 fallback pass-through g711alaw no vad!dial-peer voice 112 voip translation-profile outgoing 112 destination-pattern 000112.+ voice-class codec 1 session protocol sipv2 session target ipv4:10.0.99.100 session transport udp dtmf-relay rtp-nte fax-relay ecm disable fax rate 9600 fax nsf 000000 fax protocol t38 ls-redundancy 3 hs-redundancy 0 fallback pass-through g711alaw no vad!dial-peer voice 901 voip voice-class codec 1 session protocol sipv2 incoming uri from RTU1 dtmf-relay rtp-nte fax-relay ecm disable fax rate 9600 fax nsf 000000 fax protocol t38 ls-redundancy 3 hs-redundancy 0 fallback pass-through g711alaw no vad!dial-peer voice 902 voip voice-class codec 1 session protocol sipv2 incoming uri from RTU2 dtmf-relay rtp-nte fax-relay ecm disable fax rate 9600 fax nsf 000000 fax protocol t38 ls-redundancy 3 hs-redundancy 0 fallback pass-through g711alaw no vad!
    5. TSHARK from left-side Softswitch:
    16:10:51.680764  10.0.99.221 -> 10.0.99.29   SIP/SDP Request: INVITE sip:[email protected];user=phone, with session description16:10:51.721616   10.0.99.29 -> 10.0.99.221  SIP Status: 100 Trying16:10:55.413288   10.0.99.29 -> 10.0.99.221  SIP/SDP Status: 183 Session Progress, with session description16:10:55.418718   10.0.99.29 -> 10.0.99.221  SIP Status: 180 Ringing16:10:59.090481   10.0.99.29 -> 10.0.99.221  SIP/SDP Status: 200 OK, with session description16:10:59.091451  10.0.99.221 -> 10.0.99.29   SIP Request: ACK sip:[email protected]:506016:11:04.296532   10.0.99.29 -> 10.0.99.221  SIP Status: 488 Not Acceptable Media16:11:04.296708  10.0.99.221 -> 10.0.99.29   SIP Request: ACK sip:[email protected]:506016:11:04.793058   10.0.99.29 -> 10.0.99.221  SIP/SDP Status: 200 OK, with session description16:11:04.793262  10.0.99.221 -> 10.0.99.29   SIP Request: ACK sip:[email protected]:506016:11:05.793043   10.0.99.29 -> 10.0.99.221  SIP/SDP Status: 200 OK, with session description16:11:05.793261  10.0.99.221 -> 10.0.99.29   SIP Request: ACK sip:[email protected]:506016:11:07.793042   10.0.99.29 -> 10.0.99.221  SIP/SDP Status: 200 OK, with session description16:11:07.793300  10.0.99.221 -> 10.0.99.29   SIP Request: ACK sip:[email protected]:506016:11:11.793077   10.0.99.29 -> 10.0.99.221  SIP/SDP Status: 200 OK, with session description16:11:11.793264  10.0.99.221 -> 10.0.99.29   SIP Request: ACK sip:[email protected]:506016:11:15.793316   10.0.99.29 -> 10.0.99.221  SIP/SDP Status: 200 OK, with session description16:11:15.793541  10.0.99.221 -> 10.0.99.29   SIP Request: ACK sip:[email protected]:506016:11:19.793289   10.0.99.29 -> 10.0.99.221  SIP/SDP Status: 200 OK, with session description16:11:19.793538  10.0.99.221 -> 10.0.99.29   SIP Request: ACK sip:[email protected]:506016:11:23.794963   10.0.99.29 -> 10.0.99.221  SIP Request: BYE sip:[email protected]:5061;user=phone16:11:23.795650  10.0.99.221 -> 10.0.99.29   SIP Status: 200 OK
    6. TSHARK from right-side Softswitch:
    16:10:12.071247  10.0.99.111 -> 10.0.99.29   SIP/SDP Request: INVITE sip:[email protected];user=phone, with session description16:10:12.113708   10.0.99.29 -> 10.0.99.111  SIP Status: 100 Trying16:10:12.843352   10.0.99.29 -> 10.0.99.111  SIP/SDP Status: 183 Session Progress, with session description16:10:16.328955   10.0.99.29 -> 10.0.99.111  SIP/SDP Status: 200 OK, with session description16:10:16.329808  10.0.99.111 -> 10.0.99.29   SIP Request: ACK sip:[email protected]:506016:10:51.721600   10.0.99.29 -> 10.0.99.100  SIP/SDP Request: INVITE sip:[email protected]:5060, with session description16:10:51.723145  10.0.99.100 -> 10.0.99.29   SIP Status: 100 Trying16:10:55.384493  10.0.99.100 -> 10.0.99.29   SIP/SDP Status: 183 Progress, with session description16:10:55.392178  10.0.99.100 -> 10.0.99.29   SIP Status: 180 Ringing16:10:59.069771  10.0.99.100 -> 10.0.99.29   SIP/SDP Status: 200 OK, with session description16:10:59.088587   10.0.99.29 -> 10.0.99.100  SIP Request: ACK sip:[email protected]:5060
    7. Debug output for "debug ccsip all" and "debug voice dialpeer all"
    Router#*Sep 19 12:27:55.107: //-1/xxxxxxxxxxxx/SIP/Transport/sipTransportSetAgeingTimer: Aging timer initiated for holder=0x4654DA30,addr=10.0.99.111*Sep 19 12:27:55.267: //-1/xxxxxxxxxxxx/SIP/Info/HandleUdpSocketReads: Msg enqueued for SPI with IP addr: 10.0.99.221:5061*Sep 19 12:27:55.267: //-1/xxxxxxxxxxxx/SIP/Transport/sipTransportProcessNWNewConnMsg: context=0x00000000*Sep 19 12:27:55.267: //-1/xxxxxxxxxxxx/SIP/Transport/sipSPIUpdateResponseInfo: Dialog Transaction Address 10.0.99.221,Port 5061, Transport 1, SentBy Port 5061*Sep 19 12:27:55.267: //-1/xxxxxxxxxxxx/SIP/Msg/ccsipDisplayMsg:Received:INVITE sip:[email protected];user=phone SIP/2.0Via: SIP/2.0/UDP 10.0.99.221:5061;rport;branch=z9hG4bK-3628481038-3792786178-436258467-408012644From: <sip:[email protected]:5061;user=phone>;tag=4095425038-3792786178-436258467-408012644To: <sip:[email protected];user=phone>Call-ID: [email protected]: 1 INVITEContact: <sip:[email protected]:5061;user=phone>Content-Type: application/sdpAllow: ACK, BYE, CANCEL, INFO, INVITE, OPTIONS, REFER, REGISTER, UPDATEMax-Forwards: 70User-Agent: MERA MVTS3G v.4.4.0-15Cisco-Guid: 237931618-38998498-2747662362-1690784024Category: 10Content-Length:   313v=0o=- 1348056651 1348056651 IN IP4 10.0.99.221s=-c=IN IP4 10.0.99.221t=0 0m=audio 17294 RTP/AVP 8 0 18 4 96a=rtpmap:8 PCMA/8000a=rtpmap:0 PCMU/8000a=rtpmap:18 G729/8000a=fmtp:18 annexb=noa=rtpmap:4 G723/8000a=fmtp:4 annexa=yesa=rtpmap:96 telephone-event/8000a=fmtp:96 0-15a=sendrecv*Sep 19 12:27:55.267: //-1/0E2E8C62A3C6/SIP/State/sipSPIChangeState: 0x4627A3B8 : State change from (STATE_NONE, SUBSTATE_NONE)  to (STATE_IDLE, SUBSTATE_NONE)*Sep 19 12:27:55.267: //-1/xxxxxxxxxxxx/SIP/Transport/sipSPIUpdateResponseInfo: Dialog Transaction Address 10.0.99.221,Port 5061, Transport 1, SentBy Port 5060*Sep 19 12:27:55.267: //-1/xxxxxxxxxxxx/SIP/Info/sipSPISetDateHeader: Clock Time Zone is UTC, same as GMT: Using GMT*Sep 19 12:27:55.267: //-1/xxxxxxxxxxxx/SIP/Transport/sipSPIUpdateResponseInfo: Dialog Transaction Address 10.0.99.221,Port 5061, Transport 1, SentBy Port 5061*Sep 19 12:27:55.271: //-1/xxxxxxxxxxxx/SIP/Info/sipSPIGetGtdBody: No valid GTD body found.*Sep 19 12:27:55.271: //-1/0E2E8C62A3C6/SIP/Info/sipSPIUaddCcbToUASReqTable: ****Adding to UAS Request table.*Sep 19 12:27:55.271: //-1/0E2E8C62A3C6/SIP/Info/sipSPIUaddCcbToTable: Added to table. ccb=0x4627A3B8 [email protected]*Sep 19 12:27:55.271: //-1/0E2E8C62A3C6/SIP/Info/sipSPIMatchSrcIpGroup: Match not found on carrier id*Sep 19 12:27:55.271: //-1/0E2E8C62A3C6/SIP/Info/sipSPIMatchSrcIpGroup: Match not found on Incoming called number: 0001124957887603*Sep 19 12:27:55.271: //-1/0E2E8C62A3C6/SIP/Info/sipSPIMatchSrcIpGroup: Match not found on destination pattern: 4991589848*Sep 19 12:27:55.271: //-1/0E2E8C62A3C6/SIP/Info/ccsipUpdateIncomingCallParams: ccCallInfo: Calling name , number 4991589848, Calling oct3 0x00, oct_3a 0x80, Called number 0001124957887603*Sep 19 12:27:55.271: //-1/0E2E8C62A3C6/DPM/dpAssociateIncomingPeerCore:   Calling Number=4991589848, Called Number=0001124957887603, Voice-Interface=0x0,   Timeout=TRUE, Peer Encap Type=ENCAP_VOIP, Peer Search Type=PEER_TYPE_VOICE,   Peer Info Type=DIALPEER_INFO_SPEECH*Sep 19 12:27:55.271: //-1/0E2E8C62A3C6/DPM/dpAssociateIncomingPeerCore:   Match Rule=DP_MATCH_REQUEST_URI; URI=sip:[email protected];user=phone*Sep 19 12:27:55.271: //-1/0E2E8C62A3C6/DPM/dpMatchPeertype:   Is Incoming=TRUE, Number Expansion=FALSE*Sep 19 12:27:55.271: //-1/0E2E8C62A3C6/DPM/dpMatchCore:   Dial String=, Expanded String=, Calling Number=   Timeout=TRUE, Is Incoming=TRUE, Peer Info Type=DIALPEER_INFO_SPEECH*Sep 19 12:27:55.271: //-1/0E2E8C62A3C6/DPM/dpMatchCore:   Result=-1*Sep 19 12:27:55.271: //-1/0E2E8C62A3C6/DPM/dpMatchPeertype:exit@5392*Sep 19 12:27:55.271: //-1/0E2E8C62A3C6/DPM/dpAssociateIncomingPeerCore:   Match Rule=DP_MATCH_TO_URI; URI=sip:[email protected];user=phone*Sep 19 12:27:55.271: //-1/0E2E8C62A3C6/DPM/dpMatchPeertype:   Is Incoming=TRUE, Number Expansion=FALSE*Sep 19 12:27:55.271: //-1/0E2E8C62A3C6/DPM/dpMatchCore:   Dial String=, Expanded String=, Calling Number=   Timeout=TRUE, Is Incoming=TRUE, Peer Info Type=DIALPEER_INFO_SPEECH*Sep 19 12:27:55.271: //-1/0E2E8C62A3C6/DPM/dpMatchCore:   Result=-1*Sep 19 12:27:55.271: //-1/0E2E8C62A3C6/DPM/dpMatchPeertype:exit@5392*Sep 19 12:27:55.271: //-1/0E2E8C62A3C6/DPM/dpAssociateIncomingPeerCore:   Match Rule=DP_MATCH_FROM_URI; URI=sip:[email protected]:5061;user=phone*Sep 19 12:27:55.271: //-1/0E2E8C62A3C6/DPM/dpMatchPeertype:   Is Incoming=TRUE, Number Expansion=FALSE*Sep 19 12:27:55.271: //-1/0E2E8C62A3C6/DPM/dpMatchCore:   Dial String=, Expanded String=, Calling Number=   Timeout=TRUE, Is Incoming=TRUE, Peer Info Type=DIALPEER_INFO_SPEECH*Sep 19 12:27:55.275: //-1/0E2E8C62A3C6/DPM/MatchNextPeer:   Result=Success(0); Incoming Dial-peer=902 Is Matched*Sep 19 12:27:55.275: //-1/0E2E8C62A3C6/DPM/dpMatchPeertype:exit@5392*Sep 19 12:27:55.275: //-1/0E2E8C62A3C6/DPM/dpAssociateIncomingPeerCore:   Result=Success(0) after DP_MATCH_FROM_URI; Incoming Dial-peer=902*Sep 19 12:27:55.275: //-1/0E2E8C62A3C6/DPM/dpAssociateIncomingPeerSPI:exit@5926*Sep 19 12:27:55.275: //-1/0E2E8C62A3C6/SIP/Info/sipSPIGetCallConfig: Peer tag 902 matched for incoming call*Sep 19 12:27:55.275: //-1/0E2E8C62A3C6/SIP/Info/sipSPIGetCallConfig: Using Voice Class Codec, tag = 1*Sep 19 12:27:55.275: //-1/0E2E8C62A3C6/SIP/Info/sipSPICopyPeerDataToCCB:From CLI: Modem NSE payload = 100, Passthrough = 0, Modem relay = 0, Gw-Xid = 1SPRT latency 200, SPRT Retries = 12, Dict Size = 1024 String Len = 32, Compress dir = 3*Sep 19 12:27:55.275: //-1/0E2E8C62A3C6/SIP/Info/sipSPIContinueNewMsgInvite: Calling name , number 4991589848, Calling oct3 0x00, oct_3a 0x80, ext_priv 0x00, Called number 0001124957887603, oct3 0x00*Sep 19 12:27:55.275: //-1/0E2E8C62A3C6/SIP/Info/sipSPIContinueNewMsgInvite: Carrier id code , prev_cid NONE, next_cid NONE, prev_tgrp NONE, next_tgrp NONE*Sep 19 12:27:55.275: //19/0E2E8C62A3C6/SIP/Info/sipSPIDoMediaNegotiation: Number of m-lines = 1*Sep 19 12:27:55.275: //19/0E2E8C62A3C6/SIP/Info/sipSPIDoAudioNegotiation: Codec (g711alaw) Negotiation Successful on Static Payload for m-line 1*Sep 19 12:27:55.275: //19/0E2E8C62A3C6/SIP/Info/sipSPIDoPtimeNegotiation: No ptime present or multiple ptime attributes that can't be handled*Sep 19 12:27:55.275: //19/0E2E8C62A3C6/SIP/Info/sipSPIDoDTMFRelayNegotiation: m-line index 1*Sep 19 12:27:55.275: //19/0E2E8C62A3C6/SIP/Info/sipSPICheckDynPayloadUse: Dynamic payload(96) could not be reserved.*Sep 19 12:27:55.275: //19/0E2E8C62A3C6/SIP/Info/sipSPIDoDTMFRelayNegotiation: Requested DTMF-RELAY payload (96) is reserved by another application.*Sep 19 12:27:55.275: //19/0E2E8C62A3C6/SIP/Info/sipSPIDoDTMFRelayNegotiation: Requested DTMF-RELAY option(s) not found in Preferred DTMF-RELAY option list!*Sep 19 12:27:55.275: //19/0E2E8C62A3C6/SIP/Info/sipSPIStreamTypeAndDtmfRelay: DTMF Relay mode: Inband Voice*Sep 19 12:27:55.275: //-1/xxxxxxxxxxxx/SIP/Info/sip_sdp_get_modem_relay_cap_params: NSE payload from X-cap = 0*Sep 19 12:27:55.275: //19/0E2E8C62A3C6/SIP/Info/sip_select_modem_relay_params: X-tmr not present in SDP. Disable modem relay*Sep 19 12:27:55.275: //19/0E2E8C62A3C6/SIP/Info/sipSPIGetSDPDirectionAttribute: No direction attribute present or multiple direction attributes that can't be handled for m-line:1 and num-a-lines:0*Sep 19 12:27:55.275: //19/0E2E8C62A3C6/SIP/Info/sipSPIDoAudioNegotiation: Codec negotiation successful for media line 1        payload_type=8, codec_bytes=80, codec=g711alaw, dtmf_relay=inband-voice        stream_type=voice-only (0), dest_ip_address=10.0.99.221, dest_port=17294*Sep 19 12:27:55.275: //19/0E2E8C62A3C6/SIP/Media/sipSPIUpdCallWithSdpInfo:        Preferred Codec        : g711alaw, bytes :80        Preferred  DTMF relay  : rtp-nte        Preferred NTE payload  : 101        Early Media            : No        Delayed Media          : No        Bridge Done            : No        New Media              : No        DSP DNLD Reqd          : No*Sep 19 12:27:55.279: //19/0E2E8C62A3C6/SIP/Media/sipSPISetMediaSrcAddr: Media src addr for stream 1 = 10.0.99.29*Sep 19 12:27:55.279: //19/0E2E8C62A3C6/SIP/Info/sipSPI_ipip_report_media_to_peer: callId 19 peer 0 flags 0x201*Sep 19 12:27:55.279: //19/0E2E8C62A3C6/SIP/Info/sipSPI_ipip_copy_sdp_to_channelInfo:CallID 19, sdp 0x45A61FCC channels 0x4627BC80SIP: (19) Attribute ptime, level 1 instance 1 not found.*Sep 19 12:27:55.279: //19/0E2E8C62A3C6/SIP/Info/sipSPI_ipip_copy_sdp_to_channelInfo:Hndl ptype 8 mline 1*Sep 19 12:27:55.279: //19/0E2E8C62A3C6/SIP/Info/sipSPI_ipip_copy_sdp_to_channelInfo: Selecting codec g711alaw*Sep 19 12:27:55.279: //-1/xxxxxxxxxxxx/SIP/Info/convert_codec_bytes_to_ptime: Values :Codec: g711alaw codecbytes :80, ptime: 10*Sep 19 12:27:55.279: //19/0E2E8C62A3C6/SIP/Info/sipSPI_ipip_copy_sdp_to_channelInfo: Media negotiation done: stream->negotiated_ptime=0,stream->negotiated_codec_bytes=80, coverted ptime=10 stream->mline_index=1, media_ndx=1*Sep 19 12:27:55.279: //19/0E2E8C62A3C6/SIP/Info/sipSPI_ipip_copy_sdp_to_channelInfo:Adding codec 6 ptype 8 time 10, bytes 80  as channel 0 mline 1 ss 0 10.0.99.221:17294*Sep 19 12:27:55.279: //19/0E2E8C62A3C6/SIP/Info/sipSPI_ipip_copy_sdp_to_channelInfo:Hndl ptype 0 mline 1*Sep 19 12:27:55.279: //19/0E2E8C62A3C6/SIP/Info/sipSPI_ipip_copy_sdp_to_channelInfo: Selecting codec g711ulawSIP: (19) Attribute ptime, level 1 instance 1 not found.*Sep 19 12:27:55.279: //19/0E2E8C62A3C6/SIP/Info/sipSPI_ipip_copy_sdp_to_channelInfo: Media negotiation NOT done, get ptime from sdp: ptime=0, media_ndx=1*Sep 19 12:27:55.279: //-1/xxxxxxxxxxxx/SIP/Info/convert_ptime_to_codec_bytes: Values :Codec: g711ulaw ptime :0, codecbytes: 0*Sep 19 12:27:55.279: //19/0E2E8C62A3C6/SIP/Info/sipSPI_ipip_copy_sdp_to_channelInfo: Codec bytes 0, use default packet rate 160*Sep 19 12:27:55.279: //19/0E2E8C62A3C6/SIP/Info/sipSPI_ipip_copy_sdp_to_channelInfo:Adding codec 5 ptype 0 time 0, bytes 160  as channel 1 mline 1 ss 0 10.0.99.221:17294*Sep 19 12:27:55.279: //19/0E2E8C62A3C6/SIP/Info/sipSPI_ipip_copy_sdp_to_channelInfo:Hndl ptype 18 mline 1*Sep 19 12:27:55.279: //19/0E2E8C62A3C6/SIP/Info/sipSPISelectCodecVersion: Codec (g729r8) is not in preferred list*Sep 19 12:27:55.279: //19/0E2E8C62A3C6/SIRouter#P/Info/sipSPI_ipip_copy_sdp_to_channelInfo: An exact codec match not configured, using interoperable codec g729r8 pre-ietf*Sep 19 12:27:55.279: //19/0E2E8C62A3C6/SIP/Info/sipSPI_ipip_copy_sdp_to_channelInfo: Selecting codec g729r8 pre-ietfSIP: (19) Attribute ptime, level 1 instance 1 not found.*Sep 19 12:27:55.279: //19/0E2E8C62A3C6/SIP/Info/sipSPI_ipip_copy_sdp_to_channelInfo: Media negotiation NOT done, get ptime from sdp: ptime=0, media_ndx=1*Sep 19 12:27:55.279: //-1/xxxxxxxxxxxx/SIP/Info/convert_ptime_to_codec_bytes: Values :Codec: g729r8 pre-ietf ptime :0, codecbytes: 0*Sep 19 12:27:55.279: //19/0E2E8C62A3C6/SIP/Info/sipSPI_ipip_copy_sdp_to_channelInfo: Codec bytes 0, use default packet rate 20*Sep 19 12:27:55.279: //19/0E2E8C62A3C6/SIP/Info/sipSPI_ipip_copy_sdp_to_channelInfo:Adding codec 0 ptype 18 time 0, bytes 20  as channel 2 mline 1 ss 0 10.0.99.221:17294*Sep 19 12:27:55.279: //19/0E2E8C62A3C6/SIP/Info/sipSPI_ipip_copy_sdp_to_channelInfo:Hndl ptype 4 mline 1*Sep 19 12:27:55.279: //19/0E2E8C62A3C6/SIP/Info/sipSPISelectCodecVersion: Codec (g723ar63) is not in preferred list*Sep 19 12:27:55.279: //19/0E2E8C62A3C6/SIP/Info/sipSPI_ipip_copy_sdp_to_channelInfo: An exact codec match not configured, using interoperable codec g729r8 pre-ietf*Sep 19 12:27:55.279: //19/0E2E8C62A3C6/SIP/Info/sipSPI_ipip_copy_sdp_to_channelInfo: Selecting codec g729r8 pre-ietfSIP: (19) Attribute ptime, level 1 instance 1 not found.*Sep 19 12:27:55.279: //19/0E2E8C62A3C6/SIP/Info/sipSPI_ipip_copy_sdp_to_channelInfo: Media negotiation NOT done, get ptime from sdp: ptime=0, media_ndx=1*Sep 19 12:27:55.279: //-1/xxxxxxxxxxxx/SIP/Info/convert_ptime_to_codec_bytes: Values :Codec: g729r8 pre-ietf ptime :0, codecbytes: 0*Sep 19 12:27:55.279: //19/0E2E8C62A3C6/SIP/Info/sipSPI_ipip_copy_sdp_to_channelInfo: Codec bytes 0, use default packet rate 20*Sep 19 12:27:55.283: //19/0E2E8C62A3C6/SIP/Info/sipSPI_ipip_copy_sdp_to_channelInfo:Adding codec 0 ptype 4 time 0, bytes 20  as channel 3 mline 1 ss 0 10.0.99.221:17294*Sep 19 12:27:55.283: //19/0E2E8C62A3C6/SIP/Info/sipSPI_ipip_copy_sdp_to_channelInfo:Hndl ptype 96 mline 1*Sep 19 12:27:55.283: //19/0E2E8C62A3C6/SIP/Info/sipSPI_ipip_report_media_to_peer:Report initial call media*Sep 19 12:27:55.283: //19/0E2E8C62A3C6/SIP/Info/copy_channels: callId 19 size 296 ptr 0x46646D94)*Sep 19 12:27:55.283: //19/0E2E8C62A3C6/SIP/Info/sipSPI_ipip_report_media_to_peer:CCSIP: Unable to report channel ind*Sep 19 12:27:55.283: //19/0E2E8C62A3C6/SIP/Media/sipSPIUpdCallWithSdpInfo:          Stream type            : voice-only          Media line             : 1          State                  : STREAM_ADDING (2)          Callid                 : -1          Negotiated Codec       : g711alaw, bytes :80          Negotiated DTMF relay  : inband-voice          Negotiated NTE payload : 0          Negotiated CN payload  : 0          Media Srce Addr/Port   : 10.0.99.29:0          Media Dest Addr/Port   : 10.0.99.221:17294*Sep 19 12:27:55.283: //19/0E2E8C62A3C6/SIP/Info/sipSPIHandleInviteMedia:Negotiated Codec        : g711alaw, bytes :80Preferred Codec         : g711alaw, bytes :80Preferred  DTMF relay 1 : 6Preferred  DTMF relay 2 : 0Negotiated DTMF relay   : 0Preferred and Negotiated NTE payloads: 101 0Preferred and Negotiated NSE payloads: 100 0Preferred and Negotiated Modem Relay: 0 0Preferred and Negotiated Modem Relay GwXid: 1 0*Sep 19 12:27:55.283: //19/0E2E8C62A3C6/SIP/Info/sipSPIDoQoSNegotiation: SDP body with media description*Sep 19 12:27:55.283: //19/0E2E8C62A3C6/SIP/Info/sipSPICanSetFallbackFlag: Local Fallback is not active*Sep 19 12:27:55.283: //-1/xxxxxxxxxxxx/SIP/Media/sipSPIReserveRtpPort: reserved port 19570 for stream 1*Sep 19 12:27:55.283: //19/0E2E8C62A3C6/SIP/Info/sipSPIUpdateSrcSdpFixedPart: Reserving rtp port for stream 1, src_port=19570*Sep 19 12:27:55.283: //-1/xxxxxxxxxxxx/SIP/Info/sipSPISetMediaDirectionForStream: Setting Media direction SENDRECV for stream 1*Sep 19 12:27:55.283: //19/0E2E8C62A3C6/SIP/Info/sipSPIUpdateSrcSdpVariablePart: Setting stream 1 portnum to 19570*Sep 19 12:27:55.283: //19/0E2E8C62A3C6/SIP/Info/sipSPIAddBillingInfoToCcb: sipCallId for billing records = [email protected]*Sep 19 12:27:55.283: //19/0E2E8C62A3C6/SIP/Info/sipSPI_ipip_store_channel_info: Store channelInfo in CallInfo*Sep 19 12:27:55.283: //-1/xxxxxxxxxxxx/SIP/Info/sipSPICreateRawMsg: No GTD passed.*Sep 19 12:27:55.283: //19/0E2E8C62A3C6/SIP/Info/sipSPIContinueNewMsgInvite: ccsip_api_call_setup_ind returned: SIP_SUCCESS*Sep 19 12:27:55.283: //19/0E2E8C62A3C6/SIP/Info/sipSPIUaddCcbToUASRespTable: ****Adding to UAS Response table.*Sep 19 12:27:55.287: //19/0E2E8C62A3C6/SIP/Info/sipSPIUaddCcbToTable: Added to table. ccb=0x4627A3B8 [email protected]*Sep 19 12:27:55.287: //19/0E2E8C62A3C6/SIP/Info/sipSPIUaddccCallIdToTable: Adding call id 13 to table*Sep 19 12:27:55.287: //19/0E2E8C62A3C6/SIP/Transport/sipSPITransportSendMessage: msg=0x4654E450, addr=10.0.99.221, port=5061, sentBy_port=5061, is_req=0, transport=1, switch=0, callBack=0x00000000*Sep 19 12:27:55.287: //19/0E2E8C62A3C6/SIP/Transport/sipSPITransportSendMessage: Proceedable for sending msg immediately*Sep 19 12:27:55.287: //19/0E2E8C62A3C6/SIP/Transport/sipTransportLogicSendMsg: switch transport is 0*Sep 19 12:27:55.287: //-1/xxxxxxxxxxxx/SIP/Transport/sipTransportPostSendMessage: Posting send for msg=0x4654E450, addr=10.0.99.221, port=5061, connId=0 for UDP*Sep 19 12:27:55.287: //19/0E2E8C62A3C6/SIP/State/sipSPIChangeState: 0x4627A3B8 : State change from (STATE_IDLE, SUBSTATE_NONE)  to (STATE_RECD_INVITE, SUBSTATE_NONE)*Sep 19 12:27:55.287: //19/0E2E8C62A3C6/SIP/Info/sipSPIProcessContactInfo: Previous Hop 10.0.99.221:5061*Sep 19 12:27:55.287: //-1/xxxxxxxxxxxx/SIP/Event/sipSPIEventInfo: Queued event from SIP SPI : SIPSPI_EV_CC_CALL_PROCEEDING*Sep 19 12:27:55.291: //-1/0E2E8C62A3C6/DPM/dpMatchPeersCore:   Calling Number=, Called Number=0001124957887603, Peer Info Type=DIALPEER_INFO_SPEECH*Sep 19 12:27:55.291: //-1/0E2E8C62A3C6/DPM/dpMatchPeersCore:   Match Rule=DP_MATCH_DEST; Called Number=0001124957887603*Sep 19 12:27:55.291: //-1/0E2E8C62A3C6/DPM/dpMatchCore:   Dial String=0001124957887603, Expanded String=0001124957887603, Calling Number=   Timeout=TRUE, Is Incoming=FALSE, Peer Info Type=DIALPEER_INFO_SPEECH*Sep 19 12:27:55.291: //-1/0E2E8C62A3C6/DPM/MatchNextPeer:   Result=Success(0); Outgoing Dial-peer=112 Is Matched*Sep 19 12:27:55.291: //-1/0E2E8C62A3C6/DPM/dpMatchPeersCore:   Result=Success(0) after DP_MATCH_DEST*Sep 19 12:27:55.291: //-1/0E2E8C62A3C6/DPM/dpMatchPeersMoreArg:   Result=SUCCESS(0)   List of Matched Outgoing Dial-peer(s):     1: Dial-peer Tag=112*Sep 19 12:27:55.291: //20/000000000000/SIP/State/sipSPIChangeState: 0x4627C64C : State change from (STATE_NONE, SUBSTATE_NONE)  to (STATE_IDLE, SUBSTATE_NONE)*Sep 19 12:27:55.291: //20/000000000000/SIP/Info/ccsip_call_setup_request: This a IPIP call: Chan 0, codec 6 channel 17294, ip A0063DD:17294  params 0x465F9EF4 caps 0x44ED30C8*Sep 19 12:27:55.291: //20/000000000000/SIP/Info/ccsip_call_setup_request: This a IPIP call: Chan 1, codec 5 channel 17294, ip A0063DD:17294  params 0x465F9EF4 caps 0x44ED30C8*Sep 19 12:27:55.291: //20/000000000000/SIP/Info/ccsip_call_setup_request: This a IPIP call: Chan 2, codec 0 channel 17294, ip A0063DD:17294  params 0x465F9EF4 caps 0x44ED30C8*Sep 19 12:27:55.291: //20/000000000000/SIP/Info/ccsip_call_setup_request: This a IPIP call: Chan 3, codec 0 channel 17294, ip A0063DD:17294  params 0x465F9EF4 caps 0x44ED30C8*Sep 19 12:27:55.291: //-1/xxxxxxxxxxxx/SIP/Event/sipSPIEventInfo: Queued event from SIP SPI : SIPSPI_EV_CC_CALL_SETUP*Sep 19 12:27:55.291: //-1/xxxxxxxxxxxx/SIP/Info/ccsip_event_handler:*Sep 19 12:27:55.291: //-1/xxxxxxxxxxxx/SIP/Info/ccsip_event_handler: switch(ev.ev_id: 137)*Sep 19 12:27:55.291: //19/0E2E8C62A3C6/SIP/Info/ccsip_event_handler: ccsip_event_handler: peer ID 20 chans 0x44EE3BB0 event 137 flags 0x10020038 0x601 data 0x44EE3BB0*Sep 19 12:27:55.291: //19/0E2E8C62A3C6/SIP/Info/ccsip_event_handler: ccsip_event_handler: CC_EV_H245_SET_MODE: peer ID 20 chans 0x44EE3BB0 event 137 flags  0x10020038 0x601 data 0x44EE3BB0*Sep 19 12:27:55.295: //19/0E2E8C62A3C6/SIP/Info/ccsip_event_handler: ccsip_event_handler: CC_EV_H245_SET_MODE: peer ID 20 chans 0x44EE3BB0 event 137 flags 0x10020038 0x601 data 0x44EE3BB0, type = 3*Sep 19 12:27:55.295: //-1/xxxxxxxxxxxx/SIP/Info/ccsip_event_handler: CC_R_SUCCESS_WITH_CONFIRMED*Sep 19 12:27:55.295: //20/000000000000/SIP/Info/sipSPIUaddccCallIdToTable: Adding call id 14 to table*Sep 19 12:27:55.295: //20/000000000000/SIP/Info/act_idle_continue_call_setup:*Sep 19 12:27:55.295: //20/000000000000/SIP/Info/sipSPIGetCallConfig: preferred_codec set[0] type :No Codec    bytes: 0*Sep 19 12:27:55.295: //20/000000000000/SIP/Info/sipSPICanSetFallbackFlag: Local Fallback is not active*Sep 19 12:27:55.295: //20/000000000000/SIP/Info/sipSPIGetCallConfig: Using Voice Class Codec, tag = 1*Sep 19 12:27:55.295: //20/000000000000/SIP/Info/sipSPICopyPeerDataToCCB:From CLI: Modem NSE payload = 100, Passthrough = 0, Modem relay = 0, Gw-Xid = 1SPRT latency 200, SPRT Retries = 12, Dict Size = 1024 String Len = 32, Compress dir = 3*Sep 19 12:27:55.295: //20/000000000000/SIP/Info/sipSPI_ipip_copy_channelInfo_to_sdp:callid 20, channels 0x44E96BE0 caps 0x44ED30C8*Sep 19 12:27:55.295: //20/000000000000/SIP/Info/sipSPI_ipip_copy_channelInfo_to_sdp:pref dtmf 96*Sep 19 12:27:55.295: //20/000000000000/SIP/Info/sipSPIValidateGtd: No rawMsg from CCAPI*Sep 19 12:27:55.295: //20/000000000000/SIP/Info/sipSPIUaddCcbToUACTable: ****Adding to UAC table.*Sep 19 12:27:55.295: //20/000000000000/SIP/Info/sipSPIUaddCcbToTable: Added to table. ccb=0x4627C64C [email protected]*Sep 19 12:27:55.295: //20/000000000000/SIP/Info/sipSPIUsetBillingProfile: sipCallId for billing records = [email protected]*Sep 19 12:27:55.295: //20/000000000000/SIP/Media/sipSPISetMediaSrcAddr: Media src addr for stream 1 = 10.0.99.29*Sep 19 12:27:55.295: //-1/xxxxxxxxxxxx/SIP/Media/sipSPIReserveRtpPort: reserved port 16926 for stream 1*Sep 19 12:27:55.299: //20/000000000000/SIP/Media/sipSPIAddSDPMediaPayload: Preferred method of dtmf relay is: 6, with payload: 101*Sep 19 12:27:55.299: //-1/xxxxxxxxxxxx/SIP/Info/convert_codec_bytes_to_ptime: Values :Codec: g711alaw codecbytes :80, ptime: 10*Sep 19 12:27:55.299: //20/000000000000/SIP/Info/sip_generate_sdp_xcapsRouter#_list: Modem Relay and T38 disabled. X-cap not needed*Sep 19 12:27:55.299: //-1/xxxxxxxxxxxx/SIP/Transport/sipSPIUpdateResponseInfo: Dialog Transaction Address 10.0.99.100,Port 5060, Transport 1, SentBy Port 5060*Sep 19 12:27:55.299: //-1/xxxxxxxxxxxx/SIP/Info/sipSPISetDateHeader: Clock Time Zone is UTC, same as GMT: Using GMT*Sep 19 12:27:55.299: //20/000000000000/SIP/Event/sipSPICreateRpid: Received Octet3A=0x80 -> Setting ;screen=no ;privacy=off*Sep 19 12:27:55.299: //20/000000000000/SIP/Transport/sipSPISendInvite: Sending Invite to the transport layer*Sep 19 12:27:55.299: //20/000000000000/SIP/Transport/sipSPIGetSwitchTransportFlag: Return the Global configuration, Switch Transport is FALSE*Sep 19 12:27:55.299: //20/000000000000/SIP/Transport/sipSPITransportSendMessage: msg=0x4654D520, addr=10.0.99.100, port=5060, sentBy_port=0, is_req=1, transport=1, switch=0, callBack=0x41086470*Sep 19 12:27:55.299: //20/000000000000/SIP/Transport/sipSPITransportSendMessage: Proceedable for sending msg immediately*Sep 19 12:27:55.299: //20/000000000000/SIP/Transport/sipTransportLogicSendMsg: switch transport is 0*Sep 19 12:27:55.299: //20/000000000000/SIP/Transport/sipTransportLogicSendMsg: Set to send the msg=0x4654D520*Sep 19 12:27:55.299: //-1/xxxxxxxxxxxx/SIP/Transport/sipTransportPostSendMessage: Posting send for msg=0x4654D520, addr=10.0.99.100, port=5060, connId=3 for UDP*Sep 19 12:27:55.299: //20/000000000000/SIP/Info/sentInviteRequest: Sent Invite in state STATE_IDLE*Sep 19 12:27:55.303: //-1/xxxxxxxxxxxx/SIP/Info/sentInviteRequest: Transaction active. Facilities will be queued.*Sep 19 12:27:55.303: //20/000000000000/SIP/State/sipSPIChangeState: 0x4627C64C : State change from (STATE_IDLE, SUBSTATE_NONE)  to (STATE_SENT_INVITE, SUBSTATE_NONE)*Sep 19 12:27:55.303: //20/000000000000/SIP/Media/sipSPIProcessRtpSessions: sipSPIProcessRtpSessions*Sep 19 12:27:55.303: //20/000000000000/SIP/Media/sipSPIAddStream: Adding stream 1 of type voice+dtmf (callid 20) to the VOIP RTP library*Sep 19 12:27:55.303: //20/000000000000/SIP/Media/sipSPISetMediaSrcAddr: Media src addr for stream 1 = 10.0.99.29*Sep 19 12:27:55.303: //20/000000000000/SIP/Media/sipSPIUpdateRtcpSession: sipSPIUpdateRtcpSession for m-line 1*Sep 19 12:27:55.303: //20/000000000000/SIP/Media/sipSPIUpdateRtcpSession: rtcp_session info        laddr = 10.0.99.29, lport = 16926, raddr = 0.0.0.0, rport=0, do_rtcp=FALSE        src_callid = 20, dest_callid = -1, stream type = voice+dtmf, stream direction = RECVONLY        media_ip_addr = 0.0.0.0*Sep 19 12:27:55.303: //20/000000000000/SIP/Media/sipSPIUpdateRtcpSession: No rtp session, creating a new one*Sep 19 12:27:55.303: //-1/xxxxxxxxxxxx/SIP/Msg/ccsipDisplayMsg:Sent:SIP/2.0 100 TryingVia: SIP/2.0/UDP 10.0.99.221:5061;rport;branch=z9hG4bK-3628481038-3792786178-436258467-408012644From: <sip:[email protected]:5061;user=phone>;tag=4095425038-3792786178-436258467-408012644To: <sip:[email protected];user=phone>;tag=114FC0-1F24Date: Wed, 19 Sep 2012 12:27:55 GMTCall-ID: [email protected]: Cisco-SIPGateway/IOS-12.xCSeq: 1 INVITEAllow-Events: telephone-eventContent-Length: 0*Sep 19 12:27:55.307: //-1/xxxxxxxxxxxx/SIP/Msg/ccsipDisplayMsg:Sent:INVITE sip:[email protected]:5060 SIP/2.0Via: SIP/2.0/UDP  10.0.99.29:5060;branch=z9hG4bK1C14C0From: <sip:[email protected]>;tag=114FE0-26C0To: <sip:[email protected]>Date: Wed, 19 Sep 2012 12:27:55 GMTCall-ID: [email protected]: 100rel,timer,replacesMin-SE:  1800Cisco-Guid: 237931618-38998498-2747662362-1690784024User-Agent: Cisco-SIPGateway/IOS-12.xAllow: INVITE, OPTIONS, BYE, CANCEL, ACK, PRACK, COMET, REFER, SUBSCRIBE, NOTIFY, INFO, UPDATE, REGISTERCSeq: 101 INVITEMax-Forwards: 70Remote-Party-ID: <sip:[email protected]>;party=calling;screen=no;privacy=offTimestamp: 1348057675Contact: <sip:[email protected]:5060>Expires: 180Allow-Events: telephone-eventContent-Type: application/sdpContent-Length: 241v=0o=CiscoSystemsSIP-GW-UserAgent 3284 8564 IN IP4 10.0.99.29s=SIP Callc=IN IP4 10.0.99.29t=0 0m=audio 16926 RTP/AVP 8 101c=IN IP4 10.0.99.29a=rtpmap:8 PCMA/8000a=rtpmap:101 telephone-event/8000a=fmtp:101 0-16a=ptime:10*Sep 19 12:27:55.307: //-1/xxxxxxxxxxxx/SIP/Info/HandleUdpSocketReads: Msg enqueued for SPI with IP addr: 10.0.99.100:5060*Sep 19 12:27:55.307: //-1/xxxxxxxxxxxx/SIP/Transport/sipTransportProcessNWNewConnMsg: context=0x00000000*Sep 19 12:27:55.311: //-1/xxxxxxxxxxxx/SIP/Msg/ccsipDisplayMsg:Received:SIP/2.0 100 TryingVia: SIP/2.0/UDP 10.0.99.29:5060;branch=z9hG4bK1C14C0From: <sip:[email protected]>;tag=114FE0-26C0To: <sip:[email protected]>Call-ID: [email protected]: 101 INVITEContact: <sip:[email protected]:5060>Server: MERA MVTS3G v.4.4.0-15Timestamp: 1348057675Content-Length: 0*Sep 19 12:27:55.311: //20/000000000000/SIP/State/sipSPIChangeState: 0x4627C64C : State change from (STATE_SENT_INVITE, SUBSTATE_NONE)  to (STATE_RECD_PROCEEDING, SUBSTATE_PROCEEDING_PROCEEDING)Router#*Sep 19 12:27:58.971: //-1/xxxxxxxxxxxx/SIP/Info/HandleUdpSocketReads: Msg enqueued for SPI with IP addr: 10.0.99.100:5060*Sep 19 12:27:58.971: //-1/xxxxxxxxxxxx/SIP/Transport/sipTransportProcessNWNewConnMsg: context=0x00000000*Sep 19 12:27:58.971: //-1/xxxxxxxxxxxx/SIP/Msg/ccsipDisplayMsg:Received:SIP/2.0 183 ProgressVia: SIP/2.0/UDP 10.0.99.29:5060;branch=z9hG4bK1C14C0From: <sip:[email protected]>;tag=114FE0-26C0To: <sip:[email protected]>;tag=2318849048-3792786178-436251047-2287060836Call-ID: [email protected]: 101 INVITEContact: <sip:[email protected]:5060>Content-Type: application/sdpServer: MERA MVTS3G v.4.4.0-15Content-Length:   239v=0o=- 1348056655 1348056655 IN IP4 10.0.99.111s=-c=IN IP4 10.0.99.111t=0 0m=audio 21550 RTP/AVP 8 101a=rtpmap:8 PCMA/8000a=rtpmap:101 telephone-event/8000a=fmtp:101 0-15a=ptime:10a=sendrecva=silenceSupp:off - - - -*Sep 19 12:27:58.971: //20/000000000000/SIP/Info/HandleSIP1xxSessionProgress: Content-Disposition NOT received in 18x response - using default Content-Disposition values*Sep 19 12:27:58.971: //-1/xxxxxxxxxxxx/SIP/Info/sipSPIGetGtdBody: No valid GTD body found.*Sep 19 12:27:58.971: //20/000000000000/SIP/Info/sipSPIDoMediaNegotiation: Number of m-lines = 1*Sep 19 12:27:58.971: //20/000000000000/SIP/Info/sipSPIDoAudioNegotiation: Codec (g711alaw) Negotiation Successful on Static Payload for m-line 1*Sep 19 12:27:58.971: //20/000000000000/SIP/Info/sipSPIDoPtimeNegotiation: One ptime attribute found - value:10*Sep 19 12:27:58.975: //-1/xxxxxxxxxxxx/SIP/Info/convert_ptime_to_codec_bytes: Values :Codec: g711alaw ptime :10, codecbytes: 80*Sep 19 12:27:58.975: //-1/xxxxxxxxxxxx/SIP/Info/convert_codec_bytes_to_ptime: Values :Codec: g711alaw codecbytes :80, ptime: 10*Sep 19 12:27:58.975: //20/000000000000/SIP/Info/sipSPIDoDTMFRelayNegotiation: m-line index 1*Sep 19 12:27:58.975: //20/000000000000/SIP/Info/sipSPICheckDynPayloadUse: Dynamic payload(101) could not be reserved.*Sep 19 12:27:58.975: //20/000000000000/SIP/Info/sipSPIDoDTMFRelayNegotiation: Payload type (101) is reserved for requested dtmf relay mode.*Sep 19 12:27:58.975: //20/000000000000/SIP/Info/sipSPIDoDTMFRelayNegotiation: Case of partial named event(NE) match in fmtp list of events.*Sep 19 12:27:58.975: //-1/xxxxxxxxxxxx/SIP/Info/sip_sdp_get_modem_relay_cap_params: NSE payload from X-cap = 0*Sep 19 12:27:58.975: //20/000000000000/SIP/Info/sip_select_modem_relay_params: X-tmr not present in SDP. Disable modem relay*Sep 19 12:27:58.975: //20/000000000000/SIP/Info/sipSPIGetSDPDirectionAttribute: No direction attribute present or multiple direction attributes that can't be handled for m-line:1 and num-a-lines:0*Sep 19 12:27:58.975: //20/000000000000/SIP/Info/sipSPIDoAudioNegotiation: Codec negotiation successful for media line 1        payload_type=8, codec_bytes=80, codec=g711alaw, dtmf_relay=rtp-nte        stream_type=voice+dtmf (1), dest_ip_address=10.0.99.111, dest_port=21550*Sep 19 12:27:58.975: //20/000000000000/SIP/Media/sipSPIUpdCallWithSdpInfo:        Preferred Codec        : g711alaw, bytes :80        Preferred  DTMF relay  : rtp-nte        Preferred NTE payload  : 101        Early Media            : No        Delayed Media          : No        Bridge Done            : No        New Media              : No        DSP DNLD Reqd          : No*Sep 19 12:27:58.975: //20/000000000000/SIP/Media/sipSPISetMediaSrcAddr: Media src addr for stream 1 = 10.0.99.29*Sep 19 12:27:58.975: //20/000000000000/SIP/Info/sipSPI_ipip_report_media_to_peer: callId 20 peer 19 flags 0x7*Sep 19 12:27:58.975: //20/000000000000/SIP/Info/sipSPI_ipip_copy_sdp_to_channelInfo:CallID 20, sdp 0x45C92F44 channels 0x4627DF14*Sep 19 12:27:58.975: //20/000000000000/SIP/Info/sipSPI_ipip_copy_sdp_to_channelInfo:Hndl ptype 8 mline 1*Sep 19 12:27:58.975: //20/000000000000/SIP/Info/sipSPI_ipip_copy_sdp_to_channelInfo: Selecting codec g711alaw*Sep 19 12:27:58.975: //-1/xxxxxxxxxxxx/SIP/Info/convert_codec_bytes_to_ptime: Values :Codec: g711alaw codecbytes :80, ptime: 10*Sep 19 12:27:58.975: //20/000000000000/SIP/Info/sipSPI_ipip_copy_sdp_to_channelInfo: Media negotiation done: stream->negotiated_ptime=10,stream->negotiated_codec_bytes=80, coverted ptime=10 stream->mline_index=1, media_ndx=1*Sep 19 12:27:58.975: //20/000000000000/SIP/Info/sipSPI_ipip_copy_sdp_to_channelInfo:Adding codec 6 ptype 8 time 10, bytes 80  as channel 0 mline 1 ss 1 10.0.99.111:21550*Sep 19 12:27:58.975: //20/000000000000/SIP/Info/sipSPI_ipip_copy_sdp_to_channelInfo:Hndl ptype 101 mline 1*Sep 19 12:27:58.975: //20/000000000000/SIP/Info/sipSPI_ipip_report_media_to_peer:Report initial call media*Sep 19 12:27:58.975: //20/000000000000/SIP/Info/copy_channels: callId 20 size 80 ptr 0x46655B7C)*Sep 19 12:27:58.975: //-1/xxxxxxxxxxxx/SIP/Info/ccsip_event_handler:*Sep 19 12:27:58.975: //-1/xxxxxxxxxxxx/SIP/Info/ccsip_event_handler: switch(ev.ev_id: 131)*Sep 19 12:27:58.975: //19/0E2E8C62A3C6/SIP/Info/ccsip_event_handler: ccsip_event_handler: peer ID 20 chans 0x46655B7C event 131 flags 0x10020038 0x403 data 0x46655B7C*Sep 19 12:27:58.979: //19/0E2E8C62A3C6/SIP/Info/ccsip_event_handler: ccsip_event_handler: CC_EV_H245_OPEN_CHANNEL_IND: peer ID 20  chans 0x46655B7C event 131 flags 0x10020038 0x403 data 0x46655B7C*Sep 19 12:27:58.979: //-1/xxxxxxxxxxxx/SIP/Event/sipSPIEventInfo: Queued event from SIP SPI : SIPSPI_EV_CC_NEW_MEDIA*Sep 19 12:27:58.979: //19/0E2E8C62A3C6/SIP/Info/ccsip_event_handler: ccsip_event_handler: set event->type = SIPSPI_EV_CC_NEW_MEDIA!: peer ID 20 chans 0x46655B7C event 131 flags 0x10020038 0x403 data 0x46655B7C*Sep 19 12:27:58.979: //-1/xxxxxxxxxxxx/SIP/Info/ccsip_event_handler: CC_R_SUCCESS_WITH_CONFIRMED*Sep 19 12:27:58.979: //19/0E2E8C62A3C6/SIP/Info/ccsip_get_rtcp_session_parameters: CURRENT VALUES: stream_callid=-1, current_seq_num=0x1A8*Sep 19 12:27:58.979: //19/0E2E8C62A3C6/SIP/Info/ccsip_get_rtcp_session_parameters: NEW VALUES: stream_callid=-1, current_seq_num=0x0*Sep 19 12:27:58.979: //19/0E2E8C62A3C6/SIP/Info/ccsip_caps_ind: Load DSP with negotiated codec: g711alaw, Bytes=80*Sep 19 12:27:58.979: //19/0E2E8C62A3C6/SIP/Info/ccsip_caps_ind: Set forking flag to 0x0*Sep 19 12:27:58.979: //19/0E2E8C62A3C6/SIP/Info/sipSPISetDTMFRelayMode: Set DSP for dtmf-relay = CC_CAP_DTMF_RELAY_INBAND_VOICE_AND_OOB*Sep 19 12:27:58.979: //19/0E2E8C62A3C6/SIP/Info/sip_set_modem_caps: Preferred (or the one that came from DSM) modem relay=1161273728, from CLI config=0*Sep 19 12:27:58.979: //19/0E2E8C62A3C6/SIP/Info/sip_set_modem_caps: Disabling Modem Relay...*Sep 19 12:27:58.979: //19/0E2E8C62A3C6/SIP/Info/sip_generate_sdp_xcaps_list: Modem Relay and T38 disabled. X-cap not needed*Sep 19 12:27:58.979: //19/0E2E8C62A3C6/SIP/Info/sip_set_modem_caps: Negotiation already Done. Set negotiated Modem caps and generate SDP Xcap list*Sep 19 12:27:58.979: //19/0E2E8C62A3C6/SIP/Info/sip_set_modem_caps: Modem Relay & Passthru both disabled*Sep 19 12:27:58.979: //19/0E2E8C62A3C6/SIP/Info/sip_set_modem_caps: nse payload = 0, ptru mode = 0, ptru-codec=0, redundancy=0, xid=0, relay=0, sprt-retry=12, latecncy=200, compres-dir=3, dict=1024, strnlen=32*Sep 19 12:27:58.979: //19/0E2E8C62A3C6/SIP/Media/sipSPISetStreamInfo: 0 Active Streams*Sep 19 12:27:58.979: //19/0E2E8C62A3C6/SIP/Error/sipSPISetStreamInfo: Number of active streams is zero (0)!*Sep 19 12:27:58.979: //19/0E2E8C62A3C6/SIP/Media/sipSPISetStreamInfo:caps.stream_count=0,caps.stream[0].stream_type=0xFFFF, caps.stream_list.xmitFunc=*Sep 19 12:27:58.979: //19/0E2E8C62A3C6/SIP/Media/sipSPISetStreamInfo: ??unknown??, caps.stream_list.context=*Sep 19 12:27:58.979: //19/0E2E8C62A3C6/SIP/Media/sipSPISetStreamInfo: 0x0 (gccb)*Sep 19 12:27:58.979: //19/0E2E8C62A3C6/SIP/Info/ccsip_caps_ind: Load DSP with codec : g711alaw, Bytes=80*Sep 19 12:27:58.979: //19/0E2E8C62A3C6/SIP/Info/ccsip_caps_ind: ccsip_caps_ind: ccb->flags_ipip = 0x403*Sep 19 12:27:58.979: //20/000000000000/SIP/Info/ccsip_caps_ack: Set forking flag to 0x0*Sep 19 12:27:58.979: //20/000000000000/SIP/Media/sipSPIUpdCallWithSdpInfo:          Stream type            : voice+dtmf          Media line             : 1          State                  : STREAM_ADDING (2)          Callid                 : 20          Negotiated Codec       : g711alaw, bytes :80          Negotiated DTMF relay  : rtp-nte          Negotiated NTE payload : 101          Negotiated CN payload  : 0          Media Srce Addr/Port   : 10.0.99.29:16926          Media Dest Addr/Port   : 10.0.99.111:21550*Sep 19 12:27:58.979: //-1/xxxxxxxxxxxx/SIP/Info/sipSPICreateRawMsg: No GTD passed.*Sep 19 12:27:58.979: //20/000000000000/SIP/Info/HandleSIP1xxSessionProgress: ccsip_api_call_cut_progress returned: SIP_SUCCESS*Sep 19 12:27:58.979: //20/000000000000/SIP/State/sipSPIChangeState: 0x4627C64C : State change from (STATE_RECD_PROCEEDING, SUBSTATE_PROCEEDING_PROCEEDING)  to (STATE_RECD_PROCEEDING, SUBSTATE_NONE)*Sep 19 12:27:58.979: //20/000000000000/SIP/Info/HandleSIP1xxSessionProgress: Transaction Complete. Lock on Facilities released.*Sep 19 12:27:58.979: //19/0E2E8C62A3C6/SIP/Info/sipSPI_ipip_handle_channel_info:CCSIP:callID 19 ft: 1, inc 8, 10.0.99.111:21550, codec 6*Sep 19 12:27:58.979: //19/0E2E8C62A3C6/SIP/Info/sipSPI_ipip_copy_channelInfo_to_sdp:callid 19, channels 0x46655B7C caps 0x44E8F284*Sep 19 12:27:58.983: //19/0E2E8C62A3C6/SIP/Info/sipSPI_ipip_copy_channelInfo_to_sdp:pref dtmf 101*Sep 19 12:27:58.983: //19/0E2E8C62A3C6/SIP/Info/sipSPI_ipip_copy_channelInfo_to_sdp: nego mline 1 dtmf 101 ss 1 ret 0*Sep 19 12:27:58.983: //-1/xxxxxxxxxxxx/SIP/Info/convert_codec_bytes_to_ptime: Values :Codec: g711alaw codecbytes :80, ptime: 10*Sep 19 12:27:58.983: //19/0E2E8C62A3C6/SIP/Info/sipSPI_ipip_copy_channelInfo_to_sdp: retreive codec 6 ptype 8 time 10 bytes 80*Sep 19 12:27:58.983: //-1/xxxxxxxxxxxx/SIP/Info/sipSPISetMediaDirectionForStream: Setting Media direction SENDRECV for stream 1*Sep 19 12:27:58.983: //19/0E2E8C62A3C6/SIP/Info/sipSPIUpdateSrcSdpVariablePart: Setting stream 1 portnum to 19570*Sep 19 12:27:58.983: //19/0E2E8C62A3C6/SIP/Info/sipSPIUpdateSrcSdpVariablePart: Negotiated method of dtmf relayand pyld: 6 101*Sep 19 12:27:58.983: //19/0E2E8C62A3C6/SIP/Info/sipSPIProcessMediaChanges: sipSPIProcessMediaChanges*Sep 19 12:27:58.983: //-1/xxxxxxxxxxxx/SIP/Event/sipSPIEventInfo: Queued event from SIP SPI : SIPSPI_EV_CC_CALL_PROGRESS*Sep 19 12:27:58.983: //19/0E2E8C62A3C6/SIP/Info/ccsip_bridge: confID = 10, srcCallID = 19, dstCallID = 20*Sep 19 12:27:58.983: //19/0E2E8C62A3C6/SIP/InfRouter#o/sipSPIUupdateCcCallIds: Old src/dest ccCallids: -1/-1, new src/dest ccCallids: 19/20*Sep 19 12:27:58.983: //19/0E2E8C62A3C6/SIP/Info/sipSPIUupdateCcCallIds: Old streamcallid=-1, new streamcallid=19*Sep 19 12:27:58.983: //19/0E2E8C62A3C6/SIP/Media/sipSPIProcessRtpSessions: sipSPIProcessRtpSessions*Sep 19 12:27:58.983: //19/0E2E8C62A3C6/SIP/Media/sipSPIAddStream: Adding stream 1 of type voice+dtmf (callid 19) to the VOIP RTP library*Sep 19 12:27:58.983: //19/0E2E8C62A3C6/SIP/Media/sipSPISetMediaSrcAddr: Media src addr for stream 1 = 10.0.99.29*Sep 19 12:27:58.983: //19/0E2E8C62A3C6/SIP/Media/sipSPIUpdateRtcpSession: sipSPIUpdateRtcpSession for m-line 1*Sep 19 12:27:58.983: //19/0E2E8C62A3C6/SIP/Media/sipSPIUpdateRtcpSession: rtcp_session info        laddr = 10.0.99.29, lport = 19570, raddr = 10.0.99.221, rport=17294, do_rtcp=TRUE        src_callid = 19, dest_callid = 20, stream type = voice+dtmf, stream direction = SENDRECV        media_ip_addr = 10.0.99.221*Sep 19 12:27:58.987: //19/0E2E8C62A3C6/SIP/Media/sipSPIUpdateRtcpSession: No rtp session, creating a new one*Sep 19 12:27:58.987: //19/0E2E8C62A3C6/SIP/Info/sipSPIUpdateRtcpSession: Process Media changes is still pending.*Sep 19 12:27:58.987: //19/0E2E8C62A3C6/SIP/Media/sipSPIGetNewLocalMediaDirection:        New Remote Media Direction = SENDRECV        Present Local Media Direction = SENDRECV        New Local Media Direction = SENDRECV        retVal = 0*Sep 19 12:27:58.987: //20/000000000000/SIP/Info/ccsip_bridge: confID = 10, srcCallID = 20, dstCallID = 19*Sep 19 12:27:58.987: //20/000000000000/SIP/Info/sipSPIUupdateCcCallIds: Old src/dest ccCallids: -1/-1, new src/dest ccCallids: 20/19*Sep 19 12:27:58.987: //20/000000000000/SIP/Info/sipSPIUupdateCcCallIds: Old streamcallid=20, new streamcallid=20*Sep 19 12:27:58.987: //20/000000000000/SIP/Media/sipSPIProcessRtpSessions: sipSPIProcessRtpSessions*Sep 19 12:27:58.987: //20/000000000000/SIP/Media/sipSPIAddStream: Adding stream 1 of type voice+dtmf (callid 20) to the VOIP RTP library*Sep 19 12:27:58.987: //20/000000000000/SIP/Media/sipSPISetMediaSrcAddr: Media src addr for stream 1 = 10.0.99.29*Sep 19 12:27:58.987: //20/000000000000/SIP/Media/sipSPIUpdateRtcpSession: sipSPIUpdateRtcpSession for m-line 1*Sep 19 12:27:58.987: //20/000000000000/SIP/Media/sipSPIUpdateRtcpSession: rtcp_session info        laddr = 10.0.99.29, lport = 16926, raddr = 10.0.99.111, rport=21550, do_rtcp=TRUE        src_callid = 20, dest_callid = 19, stream type = voice+dtmf, stream direction = SENDRECV        media_ip_addr = 10.0.99.111*Sep 19 12:27:58.987: //20/000000000000/SIP/Media/sipSPIUpdateRtcpSession: RTP session already created - update*Sep 19 12:27:58.987: //20/000000000000/SIP/Media/sipSPIGetNewLocalMediaDirection:        New Remote Media Direction = SENDRECV        Present Local Media Direction = SENDRECV        New Local Media Direction = SENDRECV        retVal = 0*Sep 19 12:27:58.991: //-1/xxxxxxxxxxxx/SIP/Info/HandleUdpSocketReads: Msg enqueued for SPI with IP addr: 10.0.99.100:5060*Sep 19 12:27:58.991: //19/0E2E8C62A3C6/SIP/Info/sipSPIValidateGtd: No rawMsg from CCAPI*Sep 19 12:27:58.991: //19/0E2E8C62A3C6/SIP/Info/sipSPISendInviteResponse183: Session Type is Media/Qos/Security/RTR SDP body is attached*Sep 19 12:27:58.991: //19/0E2E8C62A3C6/SIP/Transport/sipSPISendInviteResponse: Sending 183 Response to the Transport Layer*Sep 19 12:27:58.991: //19/0E2E8C62A3C6/SIP/Transport/sipSPITransportSendMessage: msg=0x4654DFD0, addr=10.0.99.221, port=5061, sentBy_port=5061, is_req=0, transport=1, switch=0, callBack=0x41086D90*Sep 19 12:27:58.991: //19/0E2E8C62A3C6/SIP/Transport/sipSPITransportSendMessage: Proceedable for sending msg immediately*Sep 19 12:27:58.991: //19/0E2E8C62A3C6/SIP/Transport/sipTransportLogicSendMsg: switch transport is 0*Sep 19 12:27:58.991: //-1/xxxxxxxxxxxx/SIP/Transport/sipTransportPostSendMessage: Posting send for msg=0x4654DFD0, addr=10.0.99.221, port=5061, connId=0 for UDP*Sep 19 12:27:58.991: //19/0E2E8C62A3C6/SIP/Info/sentInviteResponse18x: Sent a 18x Response*Sep 19 12:27:58.991: //-1/xxxxxxxxxxxx/SIP/Transport/sipTransportProcessNWNewConnMsg: context=0x00000000*Sep 19 12:27:58.991: //-1/xxxxxxxxxxxx/SIP/Msg/ccsipDisplayMsg:Received:SIP/2.0 180 RingingVia: SIP/2.0/UDP 10.0.99.29:5060;branch=z9hG4bK1C14C0From: <sip:[email protected]>;tag=114FE0-26C0To: <sip:[email protected]>;tag=2318849048-3792786178-436251047-2287060836Call-ID: [email protected]: 101 INVITEContact: <sip:[email protected]:5060>Server: MERA MVTS3G v.4.4.0-15Content-Length: 0*Sep 19 12:27:58.995: //20/000000000000/SIP/Info/ccsip_api_call_alert: SDP Body either absent or ignored in 180 RINGING:- will wait for 200 OK to do negotiation.*Sep 19 12:27:58.995: //-1/xxxxxxxxxxxx/SIP/Info/sipSPICreateRawMsg: No GTD passed.*Sep 19 12:27:58.995: //20/000000000000/SIP/Info/HandleSIP1xxRinging: ccsip_api_call_alert returned: SIP_SUCCESS*Sep 19 12:27:58.995: //20/000000000000/SIP/State/sipSPIChangeState: 0x4627C64C : State change from (STATE_RECD_PROCEEDING, SUBSTATE_NONE)  to (STATE_RECD_PROCEEDING, SUBSTATE_NONE)*Sep 19 12:27:58.995: //20/000000000000/SIP/Info/HandleSIP1xxRinging: Transaction Complete. Lock on Facilities released.*Sep 19 12:27:58.995: //-1/xxxxxxxxxxxx/SIP/Msg/ccsipDisplayMsg:Sent:SIP/2.0 183 Session ProgressVia: SIP/2.0/UDP 10.0.99.221:5061;rport;branch=z9hG4bK-3628481038-3792786178-436258467-408012644From: <sip:[email protected]:5061;user=phone>;tag=4095425038-3792786178-436258467-408012644To: <sip:[email protected];user=phone>;tag=114FC0-1F24Date: Wed, 19 Sep 2012 12:27:55 GMTCall-ID: [email protected]: Cisco-SIPGateway/IOS-12.xCSeq: 1 INVITEAllow: INVITE, OPTIONS, BYE, CANCEL, ACK, PRACK, COMET, REFER, SUBSCRIBE, NOTIFY, INFO, UPDATE, REGISTERAllow-Events: telephone-eventContact: <sip:[email protected]:5060>Content-Disposition: session;handling=requiredContent-Type: application/sdpContent-Length: 268v=0o=CiscoSystemsSIP-GW-UserAgent 4191 6681 IN IP4 10.0.99.29s=SIP Callc=IN IP4 10.0.99.29t=0 0m=audio 19570 RTP/AVP 8 101c=IN IP4 10.0.99.29a=rtpmap:8 PCMA/8000a=rtpmap:101 telephone-event/8000a=fmtp:101 0-15a=ptime:10a=silenceSupp:off - - - -*Sep 19 12:27:58.999: //-1/xxxxxxxxxxxx/SIP/Event/sipSPIEventInfo: Queued event from SIP SPI : SIPSPI_EV_CC_CALL_ALERTING*Sep 19 12:27:58.999: //19/0E2E8C62A3C6/SIP/Info/sipSPIValidateGtd: No rawMsg from CCAPI*Sep 19 12:27:58.999: //19/0E2E8C62A3C6/SIP/Transport/sipSPISendInviteResponse: Sending 180 Response to the Transport Layer*Sep 19 12:27:58.999: //19/0E2E8C62A3C6/SIP/Transport/sipSPITransportSendMessage: msg=0x4654DFD0, addr=10.0.99.221, port=5061, sentBy_port=5061, is_req=0, transport=1, switch=0, callBack=0x41086D90*Sep 19 12:27:58.999: //19/0E2E8C62A3C6/SIP/Transport/sipSPITransportSendMessage: Proceedable for sending msg immediately*Sep 19 12:27:58.999: //19/0E2E8C62A3C6/SIP/Transport/sipTransportLogicSendMsg: switch transport is 0*Sep 19 12:27:58.999: //-1/xxxxxxxxxxxx/SIP/Transport/sipTransportPostSendMessage: Posting send for msg=0x4654DFD0, addr=10.0.99.221, port=5061, connId=0 for UDP*Sep 19 12:27:58.999: //19/0E2E8C62A3C6/SIP/Info/sentInviteResponse18x: Sent a 18x Response*Sep 19 12:27:58.999: //19/0E2E8C62A3C6/SIP/State/sipSPIChangeState: 0x4627A3B8 : State change from (STATE_RECD_INVITE, SUBSTATE_NONE)  to (STATE_SENT_ALERTING, SUBSTATE_NONE)*Sep 19 12:27:59.003: //-1/xxxxxxxxxxxx/SIP/Msg/ccsipDisplayMsg:Sent:SIP/2.0 180 RingingVia: SIP/2.0/UDP 10.0.99.221:5061;rport;branch=z9hG4bK-3628481038-3792786178-436258467-408012644From: <sip:[email protected]:5061;user=phone>;tag=4095425038-3792786178-436258467-408012644To: <sip:[email protected];user=phone>;tag=114FC0-1F24Date: Wed, 19 Sep 2012 12:27:55 GMTCall-ID: [email protected]: Cisco-SIPGateway/IOS-12.xCSeq: 1 INVITEAllow: INVITE, OPTIONS, BYE, CANCEL, ACK, PRACK, COMET, REFER, SUBSCRIBE, NOTIFY, INFO, UPDATE, REGISTERAllow-Events: telephone-eventContact: <sip:[email protected]:5060>Content-Length: 0Router#*Sep 19 12:28:02.655: //-1/xxxxxxxxxxxx/SIP/Info/HandleUdpSocketReads: Msg enqueued for SPI with IP addr: 10.0.99.100:5060*Sep 19 12:28:02.655: //-1/xxxxxxxxxxxx/SIP/Transport/sipTransportProcessNWNewConnMsg: context=0x00000000*Sep 19 12:28:02.655: //-1/xxxxxxxxxxxx/SIP/Msg/ccsipDisplayMsg:Received:SIP/2.0 200 OKVia: SIP/2.0/UDP 10.0.99.29:5060;branch=z9hG4bK1C14C0From: <sip:[email protected]>;tag=114FE0-26C0To: <sip:[email protected]>;tag=2318849048-3792786178-436251047-2287060836Call-ID: [email protected]: 101 INVITEContact: <sip:[email protected]:5060>Content-Type: application/sdpAllow: ACK, BYE, CANCEL, INFO, INVITE, OPTIONS, REFER, REGISTER, SUBSCRIBE, UPDATEServer: MERA MVTS3G v.4.4.0-15X-mera-expires: 86460Content-Length:   239v=0o=- 1348056655 1348056655 IN IP4 10.0.99.111s=-c=IN IP4 10.0.99.111t=0 0m=audio 21550 RTP/AVP 8 101a=rtpmap:8 PCMA/8000a=rtpmap:101 telephone-event/8000a=fmtp:101 0-15a=ptime:10a=sendrecva=silenceSupp:off - - - -*Sep 19 12:28:02.659: //-1/xxxxxxxxxxxx/SIP/Info/sipSPIGetGtdBody: No valid GTD body found.*Sep 19 12:28:02.659: //20/000000000000/SIP/Info/sipSPIhandle200OKInvite: Transaction active. Facilities will be queued.*Sep 19 12:28:02.659: //20/000000000000/SIP/Info/sipSPIhandle200OKInvite: *** This ccb is the parent*Sep 19 12:28:02.659: //20/000000000000/SIP/Info/sipSPIDoMediaNegotiation: Number of m-lines = 1*Sep 19 12:28:02.659: //20/000000000000/SIP/Info/sipSPIDoAudioNegotiation: Codec (g711alaw) Negotiation Successful on Static Payload for m-line 1*Sep 19 12:28:02.659: //20/000000000000/SIP/Info/sipSPIDoPtimeNegotiation: One ptime attribute found - value:10*Sep 19 12:28:02.659: //-1/xxxxxxxxxxxx/SIP/Info/convert_ptime_to_codec_bytes: Values :Codec: g711alaw ptime :10, codecbytes: 80*Sep 19 12:28:02.659: //-1/xxxxxxxxxxxx/SIP/Info/convert_codec_bytes_to_ptime: Values :Codec: g711alaw codecbytes :80, ptime: 10*Sep 19 12:28:02.659: //20/000000000000/SIP/Info/sipSPIDoDTMFRelayNegotiation: m-line index 1*Sep 19 12:28:02.659: //20/000000000000/SIP/Info/sipSPIDoDTMFRelayNegotiation: Case of partial named event(NE) match in fmtp list of events.*Sep 19 12:28:02.659: //-1/xxxxxxxxxxxx/SIP/Info/sip_sdp_get_modem_relay_cap_params: NSE payload from X-cap = 0*Sep 19 12:28:02.659: //20/000000000000/SIP/Info/sip_do_nse_negotiation: Remote NSE payload = local one = 0, Use it*Sep 19 12:28:02.659: //20/000000000000/SIP/Info/sip_select_modem_relay_params: X-tmr not present in SDP. Disable modem relay*Sep 19 12:28:02.659: //20/000000000000/SIP/Info/sipSPIGetSDPDirectionAttribute: No direction attribute present or multiple direction attributes that can't be handled for m-line:1 and num-a-lines:0*Sep 19 12:28:02.659: //20/000000000000/SIP/Info/sipSPIDoAudioNegotiation: Codec negotiation successful for media line 1        payload_type=8, codec_bytes=80, codec=g711alaw, dtmf_relay=rtp-nte        stream_type=voice+dtmf (1), dest_ip_address=10.0.99.111, dest_port=21550*Sep 19 12:28:02.659: //20/000000000000/SIP/Media/sipSPICompareStreams: stream 1 dest_port: old=21550 new=21550*Sep 19 12:28:02.659: //20/000000000000/SIP/Media/sipSPIGetNewLocalMediaDirection:        New Remote Media Direction = SENDRECV        Present Local Media Direction = SENDRECV        New Local Media Direction = SENDRECV        retVal = 0*Sep 19 12:28:02.659: //20/000000000000/SIP/Media/sipSPICompareStreams: Flags set for stream 1: RTP_CHANGE=No CAPS_CHANGE=No*Sep 19 12:28:02.659: //20/000000000000/SIP/Media/sipSPICompareSDP: Flags set for call: NEW_MEDIA=No DSPDNLD_REQD=No IPIP_MEDIA=No*Sep 19 12:28:02.659: //20/000000000000/SIP/Media/sipSPIUpdCallWithSdpInfo:        Preferred Codec        : g711alaw, bytes :80        Preferred  DTMF relay  : rtp-nte        Preferred NTE payload  : 101        Early Media            : No        Delayed Media          : No        Bridge Done            : Yes        New Media              : No        DSP DNLD Reqd          : No*Sep 19 12:28:02.659: //20/000000000000/SIP/Media/sipSPISetMediaSrcAddr: Media src addr for stream 1 = 10.0.99.29*Sep 19 12:28:02.659: //20/000000000000/SIP/Info/sipSPI_ipip_report_media_to_peer: callId 20 peer 19 flags 0x407*Sep 19 12:28:02.659: //20/000000000000/SIP/Info/sipSPI_ipip_copy_sdp_to_channelInfo:CallID 20, sdp 0x45CB1F40 channels 0x4627DF14*Sep 19 12:28:02.663: //20/000000000000/SIP/Info/sipSPI_ipip_copy_sdp_to_channelInfo:Hndl ptype 8 mline 1*Sep 19 12:28:02.663: //20/000000000000/SIP/Info/sipSPI_ipip_copy_sdp_to_channelInfo: Selecting codec g711alaw*Sep 19 12:28:02.663: //-1/xxxxxxxxxxxx/SIP/Info/convert_codec_bytes_to_ptime: Values :Codec: g711alaw codecbytes :80, ptime: 10*Sep 19 12:28:02.663: //20/000000000000/SIP/Info/sipSPI_ipip_copy_sdp_to_channelInfo: Media negotiation done: stream->negotiated_ptime=10,stream->negotiated_codec_bytes=80, coverted ptime=10 stream->mline_index=1, media_ndx=1*Sep 19 12:28:02.663: //20/000000000000/SIP/Info/sipSPI_ipip_copy_sdp_to_channelInfo:Adding codec 6 ptype 8 time 10, bytes 80  as channel 0 mline 1 ss 1 10.0.99.111:21550*Sep 19 12:28:02.663: //20/000000000000/SIP/Info/sipSPI_ipip_copy_sdp_to_channelInfo:Hndl ptype 101 mline 1*Sep 19 12:28:02.663: //20/000000000000/SIP/Media/sipSPIUpdCallWithSdpInfo:          Stream type            : voice+dtmf          Media line             : 1          State                  : STREAM_ACTIVE (5)          Callid                 : 20          Negotiated Codec       : g711alaw, bytes :80          Negotiated DTMF relay  : rtp-nte          Negotiated NTE payload : 101          Negotiated CN payload  : 0          Media Srce Addr/Port   : 10.0.99.29:16926          Media Dest Addr/Port   : 10.0.99.111:21550*Sep 19 12:28:02.663: //20/000000000000/SIP/Info/sipSPIProcessMediaChanges: sipSPIProcessMediaChanges*Sep 19 12:28:02.663: //20/000000000000/SIP/Info/sipSPIhandle200OKInvite: ccsip_api_call_connect_media returned: SIP_SUCCESS*Sep 19 12:28:02.663: //20/000000000000/SIP/State/sipSPIChangeState: 0x4627C64C : S

    Hi Ellad.
    Why don't try to use the 2811 as a SIP signalling proxy only?
    In this way the media (RTP or T.38) will be handled only from the two MERA SoftSwitch.
    To do this you must enable CUBE on your 2811 and use these special commands:
    voice service voip
         media flow-around
         allow-connections sip to sip
         signaling forward unconditional
         sip
           rel1xx disable
           header-passing
           midcall-signaling passthru
           pass-thru headers unsupp
           pass-thru content unsupp
           pass-thru content sdp
    I don't remember if we have already try this solution.
    Regards.

  • Lync 2013 mobile app does not work internally, SIP domain is Different than users UPN. not sure if that matters.

    using the lync client connectivity tester on a pc on the same lan as my mobile client everything is green and it says its ready for use.
    using my android galaxy s5 client on wifi on the same lan i get a screen with waiting to sign in spinning and an error at the top "we cant connect to the server check your network connection and server address, and try again."
    i have uploaded the full client log files
    here: client log file
    some errors that stand out from this log file are:
    1. ERROR HttpEngine: Certificate check fails: java.security.cert.CertPathValidatorException: Trust anchor for certification path not found.
    2. <h2>401 - Unauthorized: Access is denied due to invalid credentials.</h2>
      <h3>You do not have permission to view this directory or page using the credentials that you supplied.</h3>
    i am using the correct creds, same creds i used on the analyzer tool.
    in the analyzer tool i did have to fill in the username field because my sip domain is different then my users UPN. which from what ive read its required to use the username field.
    i also filled in the username field in the mobile app with domain\username
    3. ERROR LYNC: ERROR TRANSPORT /Volumes/ServerHD2/buildagent/workspace/200604/tps/ucmp/platform/networkapis/privateandroid/CHttpConnection.cpp/295:CHttpConnection exception: java.lang.NullPointerException
    Jan 14, 2015 8:40:49 AM INFO LYNC: INFO TRANSPORT /Volumes/ServerHD2/buildagent/workspace/200604/tps/ucmp/ucmp/transport/requestprocessor/private/CHttpRequestProcessor.cpp/173:Received response of request(UcwaAutoDiscoveryRequest) with status = 0x22020001
    Jan 14, 2015 8:40:49 AM INFO LYNC: INFO TRANSPORT /Volumes/ServerHD2/buildagent/workspace/200604/tps/ucmp/ucmp/transport/requestprocessor/private/CHttpRequestProcessor.cpp/201:Request UcwaAutoDiscoveryRequest resulted in E_ConnectionError (E2-2-1). The retry
    counter is: 0
    4. Jan 14, 2015 8:40:50 AM ERROR LYNC: ERROR TRANSPORT /Volumes/ServerHD2/buildagent/workspace/200604/tps/ucmp/ucmp/transport/authenticationresolver/private/CAuthenticationResolver.cpp/431:Failing the original request as we weren't able to get the token
    this is the same type of error i was getting in the lync connectivity analyzer until i filled in the username field. but its filled in, in my client.
    again you can see the full log file is `HERE
    thank you in advance for any help. im trying to get internal working before i try external.

    Eric,
    I am trying to configure a reverseproxy on my netscaler which is in a 2 arm mode(dmz/internal) but I keep getting an error when configuring the monitor.
    i used this guide to configure it
    http://www.lynced.com.au/2014/04/configure-citrix-netscaler-vpx-as.html
    but continue to get this error in the netscaler monitor "Failure - TCP connection successful, but application timed out"
    so the virtual server is never up, thinking about just changing it to tcp as a monitor so it stays up and i can at lesat get the vip up.
    Also your link to the diagram shows it going to the reverse  proxy but the one im using has it going directly to the front end servers.
    http://www.lync-solutions.com/Documents/Lync_2013_protocol_poster_v6_7.pdf
    I'm guessing Microsoft's is the correct one but wonder why the config differential?
    I see that your diagram says "mobility url", what is the mobility url? i though that was the lyncdiscoverinternal.internal.com
    current setup is
    2 fe servers on internal
    1 edge server on dmz
    1 almost done reverse proxy netscaler load balancer.
    also this ms link i used to configure dns entries, along with the pdf linked above.
    http://technet.microsoft.com/en-us/library/jj945644.aspx
    i currently have these external dns entries and they all point to the edge server on the dmz.
    dialin .external.com
    lync .external.com
    lyncweb .external.com
    lyncdiscover.external.com
    meet .external.com
    sip .external.com
    webconf .external.com
    av .external.com
    _autodiscover._tcp.external.com.
    the internal dns links point to 1 of the front end servers
    1. lyncdiscoverinternal.internal.com
    2. lyncdiscover.internal.com
    3. _sipinternaltls._tcp.internal.com
    4. _sipinternal._tcp.internal.com
    5. sipinternal.internal.com
    6. sip.internal.com
    thanks again for your help.

  • CVP Opsconsole: Patterns for RNA timeout on outbound SIP calls - Dialed Number (DN) text box does not take any input

    Hi there,
    I'm having problems modifying the 'Dialed Number (DN)' text box under 'Advanced Configuration->Patterns for RNA timeout on outbound SIP calls' of the SIP tab in the Cisco Unified Customer Voice Portal 8.5(1) opsconsole. In a nut shell, I need to change the RNA timeout but some reason when typing into the Dialed Number text box, the input is not taken. The reason I want to change this settings is because my ICM Rona is not working with CVP:
    https://supportforums.cisco.com/thread/2031366
    Thanks in advance for any help.
    Carlos A Trivino
    [email protected]

    Hello Dale,
    CVP doesn't allow you to exceed the RNA more than 60  Seconds. If you want to configure the timer for DN Patterns you should  do it via OPS console, It would update the sip.properties files in  correct way, the above way is incorrect.
    Regards,
    Senthil

  • Issue with instant ringback when using sip trunk to SP

    Hi all,
    We use CUCM 8.0.2.
    We have a SIP trunk to a SP connected via one of our Cisco 2911 routers configured as a CUBE.
    Cisco IOS Software, C2900 Software (C2900-UNIVERSALK9-M), Version 15.0(1)M3, RELEASE SOFTWARE (fc2)
    c2900-universalk9-mz.SPA.150-1.M3.bin
    Cisco CISCO2911/K9 (revision 1.0)
    Technology Package License Information for Module:'c2900'
    Technology Technology-package
                      Current       Type
    ipbase        ipbasek9      Permanent
    security      securityk9    Permanent
    uc              uck9            Permanent
    data           None            None
    We also have several ISDN lines that run out via various Cisco routers configured as H323 gateways.
    We use 7945 and CIPC for our phones.
    We're having an issue with calls going via the SIP trunk where we hear ringing instantly after dialling - but before the actual device at the other end starts ringing (considerable difference).
    Using the SIP trunk: If I make a call to my mobile phone - I hear ringing instantly - about 3 rings before my mobile phone actually starts ringing - undesireable.
    Using H323 gateway: If I make a call to my mobile phone - I hear silence for a bit - then ringing when the mobile starts ringing - desired.
    Using SIP trunk: If I make a call to a landline that is ready - it rings instantly for at least 1 ring - before the actual phone I'm calling starts ringing - undesireable.
    Using H323 gateway: There is a momentary pause before hearing ringing on my phone and the phone I dialled - desired.
    Using SIP trunk: If I make a call to a landline that is off-hook (with no call-waiting/etc.) - it rings once and then returns the busy signal (the worst issue) - undesireable.
    Using H323 gateway: There is a momentary pause before hearing busy signal - desired.
    Phone to phone internally (same network): Operates as expected (instantly rings locally and on the phone I'm calling). Between phones that utilise the SIP trunk and phones that utilise the H323 gateways within the same network - communication is instant and as expected.
    Any ideas why this happens and how to stop it?
    I want it to not ring until the situation is known and that it can provide the appropriate feedback (ringing/busy/etc.).
    Some possibly relevant config (note that there is a known bug with this IOS that meant I had to declare the codec in each dial-peer as the voice class would not work):
    voice service voip
    address-hiding
    mode border-element
    allow-connections sip to sip
    sip
      bind control source-interface GigabitEthernet0/0
      bind media source-interface GigabitEthernet0/0
      header-passing error-passthru
      early-offer forced
      midcall-signaling passthru
    interface GigabitEthernet0/0
    ip address x.x.x.x 255.255.255.252
    ip access-group acl.SIP-IN in
    no ip redirects
    no ip unreachables
    ip verify unicast reverse-path
    ip virtual-reassembly
    duplex full
    speed 100
    no cdp enable
    gateway
    timer receive-rtp 1200
    sip-ua
    connection-reuse
    gatekeeper
    shutdown
    dial-peer voice 1 voip
    description *** INBOUND CALLS FROM CARRIER ***
    translation-profile incoming SIPTRUNK-INCOMING
    session protocol sipv2
    incoming called-number #blah blah#
    dtmf-relay rtp-nte
    codec g711alaw
    ip qos dscp cs5 media
    no vad
    dial-peer voice 61 voip
    description **** WA, SA AND NT NUMBERS ****
    destination-pattern 0[8]........
    session protocol sipv2
    session target ipv4:<MY SP's SIP SERVER>
    incoming called-number 0[8]........
    dtmf-relay rtp-nte
    codec g711alaw
    ip qos dscp cs5 media
    no vad
    dial-peer voice 81 voip
    description **** MOBILE NUMBERS ****
    destination-pattern 0[4]........
    session protocol sipv2
    session target ipv4:<MY SP's SIP SERVER>
    incoming called-number 0[4]........
    dtmf-relay rtp-nte
    codec g711alaw
    ip qos dscp cs5 media
    no vad
    dial-peer voice 500 voip
    description *** INBOUND SIP TRUNK TO CUCM PUB ***
    translation-profile outgoing SIPTRUNK-CALLING-ADD-0
    preference 1
    destination-pattern 5[12]..
    session protocol sipv2
    session target ipv4:<OUR CUCM PUBLISHER IP>
    dtmf-relay rtp-nte
    codec g711alaw
    ip qos dscp cs5 media
    no vad
    Any help or a point in the right direction would be greatly appreciated.
    Cheers,
    Brett

    I ended up resolving this issue as follows:
    In CUCM, under Device > Device Settings > SIP Profile.
    I modifed the profile relevant to my SIP trunk, under the "Trunk Specific Configuration", I set "SIP Rel1XX Options" from "Disabled" to "Send PRACK if 1xx Contains SDP".
    Now, I get the expected delay before hearing ringback.
    Solved!

  • Please help with SIP configuration on 2801 router

    Hi All.
    Please help me to setup a SIP account. I’m already struggling to do that for a few days, and can’t find out how to finish that. We have 2xISDN lines running, so I need to add a SIP trunk to existing config.
    The information from our SIP provider:
    We have issued the following DDI range: 018877000 – 99
    There is no need to register the DDI’s as these will be offered to your PABX IP address provided to in the completed SIP trunking form.
    Configuration details are as follows:
    Our Primary Proxy:-        99.234.56.78
    Codec supported:-             G711Alaw, G729 (G711Alaw is the preferred codec)
    Fax Support:-                     T38 and G711Alaw
    DTMF:-                                 RFC2833 and INFO
    CLI Method:-                     Remote-Party-ID
    Trunk doesn’t require registration; you just need to send Invite. In cisco this is done through Dial-peer session-target command. We are authenticating your IP address for outgoing calls and incoming calls we then forward to the IP mentioned in the sip form.
    This is a SIP configuration on Cisco2801 router (I used outgoing calls only):
    translation-rule 10
    Rule 0 ^90 0
    Rule 1 ^91 1
    Rule 2 ^92 2
    Rule 3 ^93 3
    Rule 4 ^94 4
    Rule 5 ^95 5
    Rule 6 ^96 6
    Rule 7 ^97 7
    Rule 8 ^98 8
    Rule 9 ^99 9
    interface FastEthernet0/0.1
    description ***DATA VLAN***
    encapsulation dot1Q 1 native
    ip address 10.1.1.101 255.255.255.0
    interface FastEthernet0/0.2
    description ***VOICE VLAN***
    encapsulation dot1Q 2
    ip address 192.168.22.1 255.255.255.0
    voice service voip
    allow-connections h323 to h323
    allow-connections h323 to sip
    allow-connections sip to h323
    allow-connections sip to sip
    supplementary-service h450.12
    h323
      call start slow
    sip
      bind control source-interface FastEthernet0/0.2
      bind media source-interface FastEthernet0/0.2
      registrar server expires max 36000 min 600
    voice class codec 1
    codec preference 1 g729r8
    codec preference 2 g711ulaw
    codec preference 3 g711alaw
    dial-peer voice 1 pots
    description ### External Dialling via BRI ###
    preference 7
    destination-pattern 9T
    translate-outgoing called 10
    direct-inward-dial
    port 0/0/0
    forward-digits all
    dial-peer voice 2 pots
    description ### External Dialling via BRI ###
    preference 2
    destination-pattern 9T
    translate-outgoing called 10
    direct-inward-dial
    port 0/0/1
    forward-digits all
    dial-peer voice 9000 voip
    description ** Outgoing calls to SIP **
    preference 1
    destination-pattern 9T
    voice-class sip dtmf-relay force rtp-nte
    session protocol sipv2
    session target ipv4:99.234.56.78:5060
    dtmf-relay rtp-nte
    codec g711alaw
    no vad
    sip-ua
    timers connect 100
    sip-server ipv4:99.234.56.78
    I used debugging commands to troubleshoot the calls.
    2801(config-dial-peer)#
    094509: Jan 24 09:27:06.204: //-1/xxxxxxxxxxxx/DPM/dpAssociateIncomingPeerCore:
       Calling Number=211, Called Number=, Voice-Interface=0x65FA35B4,
       Timeout=TRUE, Peer Encap Type=ENCAP_VOICE, Peer Search Type=PEER_TYPE_VOICE,
       Peer Info Type=DIALPEER_INFO_SPEECH
    094510: Jan 24 09:27:06.204: //-1/xxxxxxxxxxxx/DPM/dpAssociateIncomingPeerCore:
       Result=Success(0) after DP_MATCH_ORIGINATE; Incoming Dial-peer=20018
    094511: Jan 24 09:27:06.716: //-1/6A877F6F9054/DPM/dpMatchPeersCore:
       Calling Number=, Called Number=9, Peer Info Type=DIALPEER_INFO_SPEECH
    094512: Jan 24 09:27:06.716: //-1/6A877F6F9054/DPM/dpMatchPeersCore:
       Match Rule=DP_MATCH_DEST; Called Number=9
    094513: Jan 24 09:27:06.716: //-1/6A877F6F9054/DPM/dpMatchPeersCore:
       Result=Partial Matches(1) after DP_MATCH_DEST
    094514: Jan 24 09:27:06.716: //-1/6A877F6F9054/DPM/dpMatchPeersMoreArg:
       Result=MORE_DIGITS_NEEDED(1)
    094515: Jan 24 09:27:06.816: //-1/6A877F6F9054/DPM/dpMatchPeersCore:
       Calling Number=, Called Number=90, Peer Info Type=DIALPEER_INFO_SPEECH
    094516: Jan 24 09:27:06.816: //-1/6A877F6F9054/DPM/dpMatchPeersCore:
       Match Rule=DP_MATCH_DEST; Called Number=90
    094517: Jan 24 09:27:06.816: //-1/6A877F6F9054/DPM/dpMatchPeersCore:
       Result=Partial Matches(1) after DP_MATCH_DEST
    094518: Jan 24 09:27:06.816: //-1/6A877F6F9054/DPM/dpMatchPeersMoreArg:
       Result=MORE_DIGITS_NEEDED(1)
    094519: Jan 24 09:27:06.912: //-1/6A877F6F9054/DPM/dpMatchPeersCore:
       Calling Number=, Called Number=908, Peer Info Type=DIALPEER_INFO_SPEECH
    094520: Jan 24 09:27:06.912: //-1/6A877F6F9054/DPM/dpMatchPeersCore:
       Match Rule=DP_MATCH_DEST; Called Number=908
    094521: Jan 24 09:27:06.916: //-1/6A877F6F9054/DPM/dpMatchPeersCore:
       Result=Partial Matches(1) after DP_MATCH_DEST
    094522: Jan 24 09:27:06.916: //-1/6A877F6F9054/DPM/dpMatchPeersMoreArg:
       Result=MORE_DIGITS_NEEDED(1)
    094523: Jan 24 09:27:07.012: //-1/6A877F6F9054/DPM/dpMatchPeersCore:
       Calling Number=, Called Number=9086, Peer Info Type=DIALPEER_INFO_SPEECH
    094524: Jan 24 09:27:07.012: //-1/6A877F6F9054/DPM/dpMatchPeersCore:
       Match Rule=DP_MATCH_DEST; Called Number=9086
    094525: Jan 24 09:27:07.016: //-1/6A877F6F9054/DPM/dpMatchPeersCore:
       Result=Partial Matches(1) after DP_MATCH_DEST
    094526: Jan 24 09:27:07.016: //-1/6A877F6F9054/DPM/dpMatchPeersMoreArg:
       Result=MORE_DIGITS_NEEDED(1)
    094527: Jan 24 09:27:07.116: //-1/6A877F6F9054/DPM/dpMatchPeersCore:
       Calling Number=, Called Number=90862, Peer Info Type=DIALPEER_INFO_SPEECH
    094528: Jan 24 09:27:07.116: //-1/6A877F6F9054/DPM/dpMatchPeersCore:
       Match Rule=DP_MATCH_DEST; Called Number=90862
    094529: Jan 24 09:27:07.116: //-1/6A877F6F9054/DPM/dpMatchPeersCore:
       Result=Partial Matches(1) after DP_MATCH_DEST
    094530: Jan 24 09:27:07.116: //-1/6A877F6F9054/DPM/dpMatchPeersMoreArg:
       Result=MORE_DIGITS_NEEDED(1)
    094531: Jan 24 09:27:07.212: //-1/6A877F6F9054/DPM/dpMatchPeersCore:
       Calling Number=, Called Number=908621, Peer Info Type=DIALPEER_INFO_SPEECH
    094532: Jan 24 09:27:07.212: //-1/6A877F6F9054/DPM/dpMatchPeersCore:
       Match Rule=DP_MATCH_DEST; Called Number=908621
    094533: Jan 24 09:27:07.216: //-1/6A877F6F9054/DPM/dpMatchPeersCore:
       Result=Partial Matches(1) after DP_MATCH_DEST
    094534: Jan 24 09:27:07.216: //-1/6A877F6F9054/DPM/dpMatchPeersMoreArg:
       Result=MORE_DIGITS_NEEDED(1)
    094535: Jan 24 09:27:07.316: //-1/6A877F6F9054/DPM/dpMatchPeersCore:
       Calling Number=, Called Number=9086215, Peer Info Type=DIALPEER_INFO_SPEECH
    094536: Jan 24 09:27:07.316: //-1/6A877F6F9054/DPM/dpMatchPeersCore:
       Match Rule=DP_MATCH_DEST; Called Number=9086215
    094537: Jan 24 09:27:07.316: //-1/6A877F6F9054/DPM/dpMatchPeersCore:
       Result=Partial Matches(1) after DP_MATCH_DEST
    094538: Jan 24 09:27:07.316: //-1/6A877F6F9054/DPM/dpMatchPeersMoreArg:
       Result=MORE_DIGITS_NEEDED(1)
    094539: Jan 24 09:27:07.412: //-1/6A877F6F9054/DPM/dpMatchPeersCore:
       Calling Number=, Called Number=90862157, Peer Info Type=DIALPEER_INFO_SPEECH
    094540: Jan 24 09:27:07.412: //-1/6A877F6F9054/DPM/dpMatchPeersCore:
       Match Rule=DP_MATCH_DEST; Called Number=90862157
    094541: Jan 24 09:27:07.416: //-1/6A877F6F9054/DPM/dpMatchPeersCore:
       Result=Partial Matches(1) after DP_MATCH_DEST
    094542: Jan 24 09:27:07.416: //-1/6A877F6F9054/DPM/dpMatchPeersMoreArg:
       Result=MORE_DIGITS_NEEDED(1)
    094543: Jan 24 09:27:07.516: //-1/6A877F6F9054/DPM/dpMatchPeersCore:
       Calling Number=, Called Number=908621577, Peer Info Type=DIALPEER_INFO_SPEECH
    094544: Jan 24 09:27:07.516: //-1/6A877F6F9054/DPM/dpMatchPeersCore:
       Match Rule=DP_MATCH_DEST; Called Number=908621577
    094545: Jan 24 09:27:07.516: //-1/6A877F6F9054/DPM/dpMatchPeersCore:
       Result=Partial Matches(1) after DP_MATCH_DEST
    094546: Jan 24 09:27:07.516: //-1/6A877F6F9054/DPM/dpMatchPeersMoreArg:
       Result=MORE_DIGITS_NEEDED(1)
    094547: Jan 24 09:27:07.612: //-1/6A877F6F9054/DPM/dpMatchPeersCore:
       Calling Number=, Called Number=9086215777, Peer Info Type=DIALPEER_INFO_SPEECH
    094548: Jan 24 09:27:07.612: //-1/6A877F6F9054/DPM/dpMatchPeersCore:
       Match Rule=DP_MATCH_DEST; Called Number=9086215777
    094549: Jan 24 09:27:07.616: //-1/6A877F6F9054/DPM/dpMatchPeersCore:
       Result=Partial Matches(1) after DP_MATCH_DEST
    094550: Jan 24 09:27:07.616: //-1/6A877F6F9054/DPM/dpMatchPeersMoreArg:
       Result=MORE_DIGITS_NEEDED(1)
    094551: Jan 24 09:27:07.716: //-1/6A877F6F9054/DPM/dpMatchPeersCore:
       Calling Number=, Called Number=90862157774, Peer Info Type=DIALPEER_INFO_SPEECH
    094552: Jan 24 09:27:07.716: //-1/6A877F6F9054/DPM/dpMatchPeersCore:
       Match Rule=DP_MATCH_DEST; Called Number=90862157774
    094553: Jan 24 09:27:07.716: //-1/6A877F6F9054/DPM/dpMatchPeersCore:
       Result=Partial Matches(1) after DP_MATCH_DEST
    094554: Jan 24 09:27:07.716: //-1/6A877F6F9054/DPM/dpMatchPeersMoreArg:
       Result=MORE_DIGITS_NEEDED(1)
    094555: Jan 24 09:27:10.711: //-1/6A877F6F9054/DPM/dpMatchPeersCore:
       Calling Number=, Called Number=90862157774T, Peer Info Type=DIALPEER_INFO_SPEECH
    094556: Jan 24 09:27:10.711: //-1/6A877F6F9054/DPM/dpMatchPeersCore:
       Match Rule=DP_MATCH_DEST; Called Number=90862157774T
    094557: Jan 24 09:27:10.711: //-1/6A877F6F9054/DPM/dpMatchPeersCore:
       Result=Success(0) after DP_MATCH_DEST
    094558: Jan 24 09:27:10.711: //-1/6A877F6F9054/DPM/dpMatchPeersMoreArg:
       Result=SUCCESS(0)
       List of Matched Outgoing Dial-peer(s):
         1: Dial-peer Tag=9000
         2: Dial-peer Tag=2
         3: Dial-peer Tag=1
    094559: Jan 24 09:27:10.711: //-1/xxxxxxxxxxxx/DPM/dpMatchPeersCore:
       Calling Number=90862157774, Called Number=90862157774, Peer Info Type=DIALPEER_INFO_SPEECH
    094560: Jan 24 09:27:10.711: //-1/xxxxxxxxxxxx/DPM/dpMatchPeersCore:
       Match Rule=DP_MATCH_DEST; Called Number=90862157774
    094561: Jan 24 09:27:10.715: //-1/xxxxxxxxxxxx/DPM/dpMatchPeersCore:
       Result=Success(0) after DP_MATCH_DEST
    094562: Jan 24 09:27:10.715: //-1/xxxxxxxxxxxx/DPM/dpMatchPeersMoreArg:
       Result=SUCCESS(0)
       List of Matched Outgoing Dial-peer(s):
         1: Dial-peer Tag=9000
         2: Dial-peer Tag=2
         3: Dial-peer Tag=1
    094563: Jan 24 09:27:10.715: //-1/xxxxxxxxxxxx/DPM/dpAssociateIncomingPeerCore:
       Calling Number=90862157774, Called Number=, Voice-Interface=0x0,
       Timeout=TRUE, Peer Encap Type=ENCAP_VOIP, Peer Search Type=PEER_TYPE_VOICE,
       Peer Info Type=DIALPEER_INFO_SPEECH
    094564: Jan 24 09:27:10.715: //-1/xxxxxxxxxxxx/DPM/dpAssociateIncomingPeerCore:
       Result=Success(0) after DP_MATCH_ORIGINATE; Incoming Dial-peer=9000
    094565: Jan 24 09:27:10.715: //-1/6A877F6F9054/DPM/dpMatchPeersCore:
       Calling Number=, Called Number=90862157774, Peer Info Type=DIALPEER_INFO_SPEECH
    094566: Jan 24 09:27:10.715: //-1/6A877F6F9054/DPM/dpMatchPeersCore:
       Match Rule=DP_MATCH_DEST; Called Number=90862157774
    094567: Jan 24 09:27:10.715: //-1/6A877F6F9054/DPM/dpMatchPeersCore:
       Result=Success(0) after DP_MATCH_DEST
    094568: Jan 24 09:27:10.715: //-1/6A877F6F9054/DPM/dpMatchPeersMoreArg:
       Result=SUCCESS(0)
       List of Matched Outgoing Dial-peer(s):
         1: Dial-peer Tag=9000
         2: Dial-peer Tag=2
         3: Dial-peer Tag=1
    094569: Jan 24 09:27:10.719: fb_get_reject_cause_code: ERROR cause_code NULL
    094570: Jan 24 09:27:10.727: //-1/xxxxxxxxxxxx/SIP/Msg/ccsipDisplayMsg:
    Sent:
    INVITE sip:[email protected]:5060 SIP/2.0
    Via: SIP/2.0/UDP 192.168.22.1:5060;branch=z9hG4bK47D116D3
    Remote-Party-ID: "Sam " <sip:[email protected]>;party=calling;screen=no;privacy=off
    From: "Sam " <sip:[email protected]>;tag=CDCFB8AC-F98
    To: <sip:[email protected]>
    Date: Tue, 24 Jan 2012 09:27:10 GMT
    Call-ID: [email protected]
    Supported: 100rel,timer,resource-priority,replaces
    Min-SE:  1800
    Cisco-Guid: 1787264879-1168380385-2421457215-1958389771
    User-Agent: Cisco-SIPGateway/IOS-12.x
    Allow: INVITE, OPTIONS, BYE, CANCEL, ACK, PRACK, UPDATE, REFER, SUBSCRIBE, NOTIFY, INFO, REGISTER
    CSeq: 101 INVITE
    Max-Forwards: 70
    Timestamp: 1327397230
    Contact: <sip:[email protected]:5060>
    Expires: 180
    Allow-Events: telephone-event
    Content-Type: application/sdp
    Content-Disposition: session;handling=required
    Content-Length: 244
    v=0
    o=CiscoSystemsSIP-GW-UserAgent 3237 2021 IN IP4 192.168.22.1
    s=SIP Call
    c=IN IP4 192.168.22.1
    t=0 0
    m=audio 18258 RTP/AVP 8 101
    c=IN IP4 192.168.22.1
    a=rtpmap:8 PCMA/8000
    a=rtpmap:101 telephone-event/8000
    a=fmtp:101 0-16
    a=ptime:20
    094571: Jan 24 09:27:11.227: //-1/xxxxxxxxxxxx/SIP/Msg/ccsipDisplayMsg:
    Sent:
    INVITE sip:[email protected]:5060 SIP/2.0
    Via: SIP/2.0/UDP 192.168.22.1:5060;branch=z9hG4bK47D116D3
    Remote-Party-ID: "Sam" <sip:[email protected]>;party=calling;screen=no;privacy=off
    From: "Sam " <sip:[email protected]>;tag=CDCFB8AC-F98
    To: <sip:[email protected]>
    Date: Tue, 24 Jan 2012 09:27:11 GMT
    Call-ID: [email protected]
    Supported: 100rel,timer,resource-priority,replaces
    Min-SE:  1800
    Cisco-Guid: 1787264879-1168380385-2421457215-1958389771
    User-Agent: Cisco-SIPGateway/IOS-12.x
    Allow: INVITE, OPTIONS, BYE, CANCEL, ACK, PRACK, UPDATE, REFER, SUBSCRIBE, NOTIFY, INFO, REGISTER
    CSeq: 101 INVITE
    Max-Forwards: 70
    Timestamp: 1327397231
    Contact: <sip:[email protected]:5060>
    Expires: 180
    Allow-Events: telephone-event
    Content-Type: application/sdp
    Content-Disposition: session;handling=required
    Content-Length: 244
    v=0
    o=CiscoSystemsSIP-GW-UserAgent 3237 2021 IN IP4 192.168.22.1
    s=SIP Call
    c=IN IP4 192.168.22.1
    t=0 0
    m=audio 18258 RTP/AVP 8 101
    c=IN IP4 192.168.22.1
    a=rtpmap:8 PCMA/8000
    a=rtpmap:101 telephone-event/8000
    a=fmtp:101 0-16
    a=ptime:20
    094572: Jan 24 09:27:12.227: //-1/xxxxxxxxxxxx/SIP/Msg/ccsipDisplayMsg:
    Sent:
    INVITE sip:[email protected]:5060 SIP/2.0
    Via: SIP/2.0/UDP 192.168.22.1:5060;branch=z9hG4bK47D116D3
    Remote-Party-ID: "Sam " <sip:[email protected]>;party=calling;screen=no;privacy=off
    From: "Sam " <sip:[email protected]>;tag=CDCFB8AC-F98
    To: <sip:[email protected]>
    Date: Tue, 24 Jan 2012 09:27:12 GMT
    Call-ID: [email protected]
    Supported: 100rel,timer,resource-priority,replaces
    Min-SE:  1800
    Cisco-Guid: 1787264879-1168380385-2421457215-1958389771
    User-Agent: Cisco-SIPGateway/IOS-12.x
    Allow: INVITE, OPTIONS, BYE, CANCEL, ACK, PRACK, UPDATE, REFER, SUBSCRIBE, NOTIFY, INFO, REGISTER
    CSeq: 101 INVITE
    Max-Forwards: 70
    Timestamp: 1327397232
    Contact: <sip:[email protected]:5060>
    Expires: 180
    Allow-Events: telephone-event
    Content-Type: application/sdp
    Content-Disposition: session;handling=required
    Content-Length: 244
    v=0
    o=CiscoSystemsSIP-GW-UserAgent 3237 2021 IN IP4 192.168.22.1
    s=SIP Call
    c=IN IP4 192.168.22.1
    t=0 0
    m=audio 18258 RTP/AVP 8 101
    c=IN IP4 192.168.22.1
    a=rtpmap:8 PCMA/8000
    a=rtpmap:101 telephone-event/8000
    a=fmtp:101 0-16
    a=ptime:20
    094573: Jan 24 09:27:14.227: //-1/xxxxxxxxxxxx/SIP/Msg/ccsipDisplayMsg:
    Sent:
    INVITE sip:[email protected]:5060 SIP/2.0
    Via: SIP/2.0/UDP 192.168.22.1:5060;branch=z9hG4bK47D116D3
    Remote-Party-ID: "Sam" <sip:[email protected]>;party=calling;screen=no;privacy=off
    From: "Sam" <sip:[email protected]>;tag=CDCFB8AC-F98
    To: <sip:[email protected]>
    Date: Tue, 24 Jan 2012 09:27:14 GMT
    Call-ID: [email protected]
    Supported: 100rel,timer,resource-priority,replaces
    Min-SE:  1800
    Cisco-Guid: 1787264879-1168380385-2421457215-1958389771
    User-Agent: Cisco-SIPGateway/IOS-12.x
    Allow: INVITE, OPTIONS, BYE, CANCEL, ACK, PRACK, UPDATE, REFER, SUBSCRIBE, NOTIFY, INFO, REGISTER
    CSeq: 101 INVITE
    Max-Forwards: 70
    Timestamp: 1327397234
    Contact: <sip:[email protected]:5060>
    Expires: 180
    Allow-Events: telephone-event
    Content-Type: application/sdp
    Content-Disposition: session;handling=required
    Content-Length: 244
    v=0
    o=CiscoSystemsSIP-GW-UserAgent 3237 2021 IN IP4 192.168.22.1
    s=SIP Call
    c=IN IP4 192.168.22.1
    t=0 0
    m=audio 18258 RTP/AVP 8 101
    c=IN IP4 192.168.22.1
    a=rtpmap:8 PCMA/8000
    a=rtpmap:101 telephone-event/8000
    a=fmtp:101 0-16
    a=ptime:20
    I made some changes in the router configuration.
    I removed FA0/0.2 Voice interface from Voice service voip configuration (bind control source-interface FastEthernet0/0.2 and bind media source-interface FastEthernet0/0.2). And now it’s using ip address 10.1.1.101 (data ip).
    The debugging is changed now. I can send and receive a respond from SIP server. But  It shows an error: SIP/2.0 404 Not Found
    Then it moves to ISDN line, and use this line to make a call.
    102988: Jan 24 14:45:47.290: //-1/EDCA21089304/DPM/dpMatchPeersCore:
       Calling Number=, Called Number=90862157774T, Peer Info Type=DIALPEER_INFO_SPEECH
    102989: Jan 24 14:45:47.290: //-1/EDCA21089304/DPM/dpMatchPeersCore:
       Match Rule=DP_MATCH_DEST; Called Number=90862157774T
    102990: Jan 24 14:45:47.290: //-1/EDCA21089304/DPM/dpMatchPeersCore:
       Result=Success(0) after DP_MATCH_DEST
    102991: Jan 24 14:45:47.290: //-1/EDCA21089304/DPM/dpMatchPeersMoreArg:
       Result=SUCCESS(0)
       List of Matched Outgoing Dial-peer(s):
         1: Dial-peer Tag=9000
         2: Dial-peer Tag=2
         3: Dial-peer Tag=1
    102992: Jan 24 14:45:47.290: //-1/xxxxxxxxxxxx/DPM/dpMatchPeersCore:
       Calling Number=90862157774, Called Number=90862157774, Peer Info Type=DIALPEER_INFO_SPEECH
    102993: Jan 24 14:45:47.290: //-1/xxxxxxxxxxxx/DPM/dpMatchPeersCore:
       Match Rule=DP_MATCH_DEST; Called Number=90862157774
    102994: Jan 24 14:45:47.294: //-1/xxxxxxxxxxxx/DPM/dpMatchPeersCore:
       Result=Success(0) after DP_MATCH_DEST
    102995: Jan 24 14:45:47.294: //-1/xxxxxxxxxxxx/DPM/dpMatchPeersMoreArg:
       Result=SUCCESS(0)
       List of Matched Outgoing Dial-peer(s):
         1: Dial-peer Tag=9000
         2: Dial-peer Tag=2
         3: Dial-peer Tag=1
    102996: Jan 24 14:45:47.294: //-1/xxxxxxxxxxxx/DPM/dpAssociateIncomingPeerCore:
       Calling Number=90862157774, Called Number=, Voice-Interface=0x0,
       Timeout=TRUE, Peer Encap Type=ENCAP_VOIP, Peer Search Type=PEER_TYPE_VOICE,
       Peer Info Type=DIALPEER_INFO_SPEECH
    102997: Jan 24 14:45:47.294: //-1/xxxxxxxxxxxx/DPM/dpAssociateIncomingPeerCore:
       Result=Success(0) after DP_MATCH_ORIGINATE; Incoming Dial-peer=9000
    102998: Jan 24 14:45:47.294: //-1/EDCA21089304/DPM/dpMatchPeersCore:
       Calling Number=, Called Number=90862157774, Peer Info Type=DIALPEER_INFO_SPEECH
    102999: Jan 24 14:45:47.294: //-1/EDCA21089304/DPM/dpMatchPeersCore:
       Match Rule=DP_MATCH_DEST; Called Number=90862157774
    103000: Jan 24 14:45:47.294: //-1/EDCA21089304/DPM/dpMatchPeersCore:
       Result=Success(0) after DP_MATCH_DEST
    103001: Jan 24 14:45:47.294: //-1/EDCA21089304/DPM/dpMatchPeersMoreArg:
       Result=SUCCESS(0)
       List of Matched Outgoing Dial-peer(s):
         1: Dial-peer Tag=9000
         2: Dial-peer Tag=2
         3: Dial-peer Tag=1
    103002: Jan 24 14:45:47.298: fb_get_reject_cause_code: ERROR cause_code NULL
    103003: Jan 24 14:45:47.310: //-1/xxxxxxxxxxxx/SIP/Msg/ccsipDisplayMsg:
    Sent:
    INVITE sip:[email protected]:5060 SIP/2.0
    Via: SIP/2.0/UDP 10.1.1.101:5060;branch=z9hG4bK4875CB9
    Remote-Party-ID: "Sam" <sip:[email protected]>;party=calling;screen=no;privacy=off
    From: "Seam" <sip:[email protected]>;tag=CEF37490-172C
    To: <sip:[email protected]>
    Date: Tue, 24 Jan 2012 14:45:47 GMT
    Call-ID: [email protected]
    Supported: 100rel,timer,resource-priority,replaces
    Min-SE:  1800
    Cisco-Guid: 3989446920-1171263969-2466545983-1958389771
    User-Agent: Cisco-SIPGateway/IOS-12.x
    Allow: INVITE, OPTIONS, BYE, CANCEL, ACK, PRACK, UPDATE, REFER, SUBSCRIBE, NOTIFY, INFO, REGISTER
    CSeq: 101 INVITE
    Max-Forwards: 70
    Timestamp: 1327416347
    Contact: <sip:[email protected]:5060>
    Expires: 180
    Allow-Events: telephone-event
    Content-Type: application/sdp
    Content-Disposition: session;handling=required
    Content-Length: 247
    v=0
    o=CiscoSystemsSIP-GW-UserAgent 2438 9821 IN IP4 10.1.1.101
    s=SIP Call
    c=IN IP4 10.1.1.101
    t=0 0
    m=audio 19412 RTP/AVP 8 101
    c=IN IP4 10.1.1.101
    a=rtpmap:8 PCMA/8000
    a=rtpmap:101 telephone-event/8000
    a=fmtp:101 0-16
    a=ptime:20
    103004: Jan 24 14:45:47.354: //-1/xxxxxxxxxxxx/SIP/Msg/ccsipDisplayMsg:
    Received:
    SIP/2.0 404 Not Found
    From: "Sam "<sip:[email protected]>;tag=CEF37490-172C
    To: <sip:[email protected]>;tag=7fad61f03708-100007f-13c4-55013-a0142-10fd12c8-a0142
    Call-ID: [email protected]
    CSeq: 101 INVITE
    Via: SIP/2.0/UDP 10.1.1.101:5060;received=88.99.77.44;branch=z9hG4bK4875CB9
    Content-Length: 0
    103005: Jan 24 14:45:47.362: //-1/xxxxxxxxxxxx/SIP/Msg/ccsipDisplayMsg:
    Sent:
    ACK sip:[email protected]:5060 SIP/2.0
    Via: SIP/2.0/UDP 10.1.1.101:5060;branch=z9hG4bK4875CB9
    From: "Sam " <sip:[email protected]>;tag=CEF37490-172C
    To: <sip:[email protected]>;tag=7fad61f03708-100007f-13c4-55013-a0142-10fd12c8-a0142
    Date: Tue, 24 Jan 2012 14:45:47 GMT
    Call-ID: [email protected]
    Max-Forwards: 70
    CSeq: 101 ACK
    Allow-Events: telephone-event
    Content-Length: 0
    103006: Jan 24 14:45:47.374: %ISDN-6-LAYER2UP: Layer 2 for Interface BR0/0/1, TEI 96 changed to up
    103007: Jan 24 14:45:51.313: //-1/xxxxxxxxxxxx/DPM/dpMatchPeersCore:
       Calling Number=, Called Number=211, Peer Info Type=DIALPEER_INFO_SPEECH
    103008: Jan 24 14:45:51.313: //-1/xxxxxxxxxxxx/DPM/dpMatchPeersCore:
       Match Rule=DP_MATCH_DEST; Called Number=211
    103009: Jan 24 14:45:51.317: //-1/xxxxxxxxxxxx/DPM/dpMatchPeersCore:
       Result=Success(0) after DP_MATCH_DEST
    103010: Jan 24 14:45:51.317: //-1/xxxxxxxxxxxx/DPM/dpMatchPeers:
       Result=SUCCESS(0)
       List of Matched Outgoing Dial-peer(s):
         1: Dial-peer Tag=20018
    103011: Jan 24 14:45:51.317: //-1/xxxxxxxxxxxx/DPM/dpMatchPeersCore:
       Calling Number=, Called Number=0862157774, Peer Info Type=DIALPEER_INFO_SPEECH
    103012: Jan 24 14:45:51.317: //-1/xxxxxxxxxxxx/DPM/dpMatchPeersCore:
       Match Rule=DP_MATCH_DEST; Called Number=0862157774
    103013: Jan 24 14:45:51.317: //-1/xxxxxxxxxxxx/DPM/dpMatchPeersCore:
       No Outgoing Dial-peer Is Matched; Result=NO_MATCH(-1)
    103014: Jan 24 14:45:51.317: //-1/xxxxxxxxxxxx/DPM/dpMatchPeers:
       Result=NO_MATCH(-1)
    103015: Jan 24 14:46:08.815: %ISDN-6-LAYER2DOWN: Layer 2 for Interface BR0/0/1, TEI 96 changed to down
    2801(config-dial-peer)#
    Then I removed SIP-UA as I was told there is no registration necessary, only Dial-peer configuration.
    But it didn’t affect anything.
    Then I add translate-outgoing called 10 command to dial-peer 9000, nothing happened.
    Really stuck and don't know where to look at.
    Any help will be highly appreciated.
    Thanks.

    Hi Dan.
    Yes, I saw that RTP debugging, but what can I change there? Maybe I need to open more ports on ASA for RTP like 19412?
    I use Cisco ASDM for ASA to make changes.
    There are static NAT rules for: Server source IPs(10.1.1.100) to Outside(translated IPs, 88.99.77.44)  for a few ports.
    Also I added Security policy access rules for LAN: Any to SIP, and Outside: SIP to any.
    For NAT:
    I can't add this: for LAN: STATIC ROUTER IP 10.1.1.101 (AS SOURCE) UDP 5060 TO OUTSIDE IP 88.99.77.44
    (AS TRANSLATED) UDP 5060
    Because there is already translation for the Server.
    Debugging looks like that now. There is no Received: SIP/2.0, but I can make an outside call with no audio.
    116013: Jan 25 15:28:25.584: //-1/xxxxxxxxxxxx/DPM/dpAssociateIncomingPeerCore:
       Calling Number=90862157774, Called Number=, Voice-Interface=0x0,
       Timeout=TRUE, Peer Encap Type=ENCAP_VOIP, Peer Search Type=PEER_TYPE_VOICE,
       Peer Info Type=DIALPEER_INFO_SPEECH
    116014: Jan 25 15:28:25.584: //-1/xxxxxxxxxxxx/DPM/dpAssociateIncomingPeerCore:
       Result=Success(0) after DP_MATCH_ORIGINATE; Incoming Dial-peer=9000
    116015: Jan 25 15:28:25.584: //-1/0D0EB9CE9708/DPM/dpMatchPeersCore:
       Calling Number=, Called Number=90862157774, Peer Info Type=DIALPEER_INFO_SPEECH
    116016: Jan 25 15:28:25.584: //-1/0D0EB9CE9708/DPM/dpMatchPeersCore:
       Match Rule=DP_MATCH_DEST; Called Number=90862157774
    116017: Jan 25 15:28:25.584: //-1/0D0EB9CE9708/DPM/dpMatchPeersCore:
       Result=Success(0) after DP_MATCH_DEST
    116018: Jan 25 15:28:25.584: //-1/0D0EB9CE9708/DPM/dpMatchPeersMoreArg:
       Result=SUCCESS(0)
       List of Matched Outgoing Dial-peer(s):
         1: Dial-peer Tag=9000
         2: Dial-peer Tag=2
         3: Dial-peer Tag=1
    116019: Jan 25 15:28:25.588: fb_get_reject_cause_code: ERROR cause_code NULL
    116020: Jan 25 15:28:25.600: //-1/xxxxxxxxxxxx/SIP/Msg/ccsipDisplayMsg:
    Sent:
    INVITE sip:[email protected]:5060 SIP/2.0
    Via: SIP/2.0/UDP 10.1.1.101:5060;branch=z9hG4bK491484D
    Remote-Party-ID: "Sam " ;party=calling;screen=no;privacy=off
    From: "Sam " ;tag=D4410748-1C9D
    To:
    Date: Wed, 25 Jan 2012 15:28:25 GMT
    Call-ID: [email protected]
    Supported: 100rel,timer,resource-priority,replaces
    Min-SE:  1800
    Cisco-Guid: 219068878-1184895457-2533916991-1958389771
    User-Agent: Cisco-SIPGateway/IOS-12.x
    Allow: INVITE, OPTIONS, BYE, CANCEL, ACK, PRACK, UPDATE, REFER, SUBSCRIBE, NOTIFY, INFO, REGISTER
    CSeq: 101 INVITE
    Max-Forwards: 70
    Timestamp: 1327505305
    Contact:
    Expires: 180
    Allow-Events: telephone-event
    Content-Type: application/sdp
    Content-Disposition: session;handling=required
    Content-Length: 247
    v=0
    o=CiscoSystemsSIP-GW-UserAgent 1984 5803 IN IP4 10.1.1.101
    s=SIP Call
    c=IN IP4 10.1.1.101
    t=0 0
    m=audio 18782 RTP/AVP 8 101
    c=IN IP4 10.1.1.101
    a=rtpmap:8 PCMA/8000
    a=rtpmap:101 telephone-event/8000
    a=fmtp:101 0-16
    a=ptime:20
    116021: Jan 25 15:28:26.096: //-1/xxxxxxxxxxxx/SIP/Msg/ccsipDisplayMsg:
    Sent:
    INVITE sip:[email protected]:5060 SIP/2.0
    Via: SIP/2.0/UDP 10.1.1.101:5060;branch=z9hG4bK491484D
    Remote-Party-ID: "Sam " ;party=calling;screen=no;privacy=off
    From: "Sam " ;tag=D4410748-1C9D
    To:
    Date: Wed, 25 Jan 2012 15:28:26 GMT
    Call-ID: [email protected]
    Supported: 100rel,timer,resource-priority,replaces
    Min-SE:  1800
    Cisco-Guid: 219068878-1184895457-2533916991-1958389771
    User-Agent: Cisco-SIPGateway/IOS-12.x
    Allow: INVITE, OPTIONS, BYE, CANCEL, ACK, PRACK, UPDATE, REFER, SUBSCRIBE, NOTIFY, INFO, REGISTER
    CSeq: 101 INVITE
    Max-Forwards: 70
    Timestamp: 1327505306
    Contact:
    Expires: 180
    Allow-Events: telephone-event
    Content-Type: application/sdp
    Content-Disposition: session;handling=required
    Content-Length: 247
    v=0
    o=CiscoSystemsSIP-GW-UserAgent 1984 5803 IN IP4 10.1.1.101
    s=SIP Call
    c=IN IP4 10.1.1.101
    t=0 0
    m=audio 18782 RTP/AVP 8 101
    c=IN IP4 10.1.1.101
    a=rtpmap:8 PCMA/8000
    a=rtpmap:101 telephone-event/8000
    a=fmtp:101 0-16
    a=ptime:20
    116022: Jan 25 15:28:27.096: //-1/xxxxxxxxxxxx/SIP/Msg/ccsipDisplayMsg:
    Sent:
    INVITE sip:[email protected]:5060 SIP/2.0
    Via: SIP/2.0/UDP 10.1.1.101:5060;branch=z9hG4bK491484D
    Remote-Party-ID: "Sam " ;party=calling;screen=no;privacy=off
    From: "Sam " ;tag=D4410748-1C9D
    To:
    Date: Wed, 25 Jan 2012 15:28:27 GMT
    Call-ID: [email protected]
    Supported: 100rel,timer,resource-priority,replaces
    Min-SE:  1800
    Cisco-Guid: 219068878-1184895457-2533916991-1958389771
    User-Agent: Cisco-SIPGateway/IOS-12.x
    Allow: INVITE, OPTIONS, BYE, CANCEL, ACK, PRACK, UPDATE, REFER, SUBSCRIBE, NOTIFY, INFO, REGISTER
    CSeq: 101 INVITE
    Max-Forwards: 70
    Timestamp: 1327505307
    Contact:
    Expires: 180
    Allow-Events: telephone-event
    Content-Type: application/sdp
    Content-Disposition: session;handling=required
    Content-Length: 247
    v=0
    o=CiscoSystemsSIP-GW-UserAgent 1984 5803 IN IP4 10.1.1.101
    s=SIP Call
    c=IN IP4 10.1.1.101
    t=0 0
    m=audio 18782 RTP/AVP 8 101
    c=IN IP4 10.1.1.101
    a=rtpmap:8 PCMA/8000
    a=rtpmap:101 telephone-event/8000
    a=fmtp:101 0-16
    a=ptime:20
    116026: Jan 25 15:28:57.092: //-1/xxxxxxxxxxxx/SIP/Msg/ccsipDisplayMsg:
    Sent:
    INVITE sip:[email protected]:5060 SIP/2.0
    Via: SIP/2.0/UDP 10.1.1.101:5060;branch=z9hG4bK491484D
    Remote-Party-ID: "Sam" ;party=calling;screen=no;privacy=off
    From: "Sam " ;tag=D4410748-1C9D
    To:
    Date: Wed, 25 Jan 2012 15:28:57 GMT
    Call-ID: [email protected]
    Supported: 100rel,timer,resource-priority,replaces
    Min-SE:  1800
    Cisco-Guid: 219068878-1184895457-2533916991-1958389preference 1771
    User-Agent: Cisco-SIPGateway/IOS-12.x
    Allow: INVITE, OPTIONS, BYE, CANCEL, ACK, PRACK, UPDATE, REFER, SUBSCRIBE, NOTIFY, INFO, REGISTER
    CSeq: 101 INVITE
    Max-Forwards: 70
    Timestamp: 1327505337
    Contact:
    Expires: 180
    Allow-Events: telephone-event
    Content-Type: application/sdp
    Content-Disposition: session;handling=required
    Content-Length: 247
    v=0
    o=CiscoSystemsSIP-GW-UserAgent 1984 5803 IN IP4 10.1.1.101
    s=SIP Call
    c=IN IP4 10.1.1.101
    t=0 0
    m=audio 18782 RTP/AVP 8 101
    c=IN IP4 10.1.1.101
    a=rtpmap:8 PCMA/8000
    a=rtpmap:101 telephone-event/8000
    a=fmtp:101 0-16
    a=ptime:20
    I'll add Incoming dial-peer now.
    Not sure what kind of NAT rule should I put into ASA to allow in and out sip traffic.
    Appretiate your help.
    Thanks a mill.

  • Lync 2013 Front End SIP/2.0 500 Compression algorithm refused

    I've deployed a brand new Lync 2013 environment hosted on Windows Server 2012 R2 that is currently in co-existence mode with my Lync 2010 environment. 
    I have SCOM 2012 monitoring the environment and it recently started reporting that one or more of my front end servers
    was in a critical state.  Diving into it revealed the following perf counter threshold was being tripped:
    Time Sampled: 3/26/2014 2:33:30 PM
    Object Name: LS:SIP - Responses
    Counter Name: SIP - Local 500 Responses
    Instance Name: 
    First Value: 14287
    Last Value: 14340
    Delta Value: 53
    Using OCSLOGGER.exe on the front end to capture logs, i trapped the following:
    TL_INFO(TF_PROTOCOL) [11]9138.1C58::03/26/2014-19:12:39.098.0022c780 (SIPStack,SIPAdminLog::ProtocolRecord::Flush:ProtocolRecord.cpp(265))[120713120] $$begin_record
    Trace-Correlation-Id: 120713120
    Instance-Id: 7D80EB
    Direction: outgoing;source="local"
    Peer: poolA.contoso.com:63820
    Message-Type: response
    Start-Line: SIP/2.0 500 Compression algorithm refused
    FROM: <sip:poolA.contoso.com>;ms-fe=FEserver1.contoso.com
    To: <sip:poolA.contoso.com>;tag=F8B88CAB38613EB380773027C56D94AF
    CALL-ID: 986f9f568c794ce39d33d7158376157b
    CSEQ: 1 NEGOTIATE
    Via: SIP/2.0/TLS 10.154.228.225:63820;ms-received-port=63820;ms-received-cid=C3D7C00
    Content-Length: 0
    ms-diagnostics: 2;reason="See response code and reason phrase";HRESULT="0xC3E93C0F(SIP_E_REACHED_CONFIGURED_LIMIT)";source="FEserver1.contoso.com"
    Server: RTC/5.0
    $$end_record
    The only recent change made to the front end servers was making the registry change outlined in this article: 
    http://support.microsoft.com/kb/2901554/en-us so i'm wondering if that has something to do with it.

    The MSFT support person said to re-apply CU5 to the Director servers and reboot.  Since this is impactful to the environment and I would have to do reboots anyway, I opted to go the route of installing the more recent update so....
    Last weekend I updated my Lync environment with what I think is considered CU6, the September 2014 updates for Lync 2013 Server (https://support.microsoft.com/kb/2809243) and still no luck. The front
    end servers are fine; no excess SIP 500 errors occurring there but within 30 minutes of removing the SCOM override on the Director servers the alerts started firing again.
    I reinstated the override in SCOM for the Directors and had my case with Premier support un-archived.  The MSFT support person said if the alerts didn't go away she was going to have to engage the Lync product group for help.  We'll see where it
    goes from here.
    JKuta

  • "match protocol sip" has no any match with Polycom HDX SIP Session

    I am working on QoS for Polycom HDX video device。
    Found some strange with command "match protocol sip"
    Config is following:
    class-map match-any cm-prec-3-in
    match access-group name acl-prec-3
    match protocol sip   !--->try to catch the sip traffic
    match protocol rtcp
    match  dscp cs3
    Router#sh policy-map interface vlan 300  input class cm-prec-3-in
    Vlan300
       Service-policy input: pm-classify-in
         Class-map: cm-prec-3-in (match-any)
          789 packets, 294892 bytes
          5 minute offered rate 0 bps, drop rate 0 bps
          Match: access-group name acl-prec-3
            753 packets, 290572 bytes
            5 minute rate 0 bps
         Match: protocol sip  !---> no any sip traffic is matched
            0 packets, 0 bytes
            5 minute rate 0 bps
         Match: protocol rtcp !---> RTCP catched
            36 packets, 4320 bytes
            5 minute rate 0 bps
          Match:  dscp cs3 (24)
            0 packets, 0 bytes
            5 minute rate 0 bps
          QoS Set
            precedence 3
              Packets marked 789
    try to show the nbar version:
    sh ip nbar version:                                      
    27  sip                  Mv: 2
          Iv:                cisco-phone - 1
          Iv:                telepresence-control - 1
    42  cisco-phone          Mv: 1
          Iv:                  sip - 2
    Dose that mean this command "match protocol sip" is only supported for Cisco device?
    Try to use ACL to match udp port 5060 for the QoS, and it is working.
    Try to use comster "ip nbar custom" to re-write the nbar and it is doesn't accept.
    Please help to clarify how to use this command.

    Hi Patrick
    I am working on this one as well. I have a UC560 with SIP Trunk provider Les.NET.
    It was working fine until a few weeks ago when something changed on the provider end and broke it. My hunch it is something to do with the SIP REFER.
    http://www.cisco.com/c/en/us/support/docs/voice-unified-communications/unified-communications-manager-express/91535-cme-sip-trunking-config.html
    Here is an excerpt from the above page:
    Call Transfer
    When a call comes in on an SIP trunk to an SCCP Phone or CUE AutoAttendant (AA) and is transferred, the CME by default will send a SIP REFER message to the SP proxy. Most SP Proxy Servers do not support the REFER method. This needs to be configured in order to force the CME to hairpin the call:
    Router(config)#voice service voip
    Router(conf-voi-serv)#no supplementary-service sip refer
    Figure 3 shows the behavior of the CME system with the REFER method disabled.

  • VCS X8.5.1 SIP TLS to CUCM 8.6.2

    I'm having problems enabling TLS on my SIP trunk from the VCS to CUCM.
    The SIP trunk shows active on the VCS, but I can't make calls from VCS to CUCM or from CUCM to VCS.
    Before configuring TLS, I was able to make these calls.
    With TLS enabled, the VCS search for calls from VCS to CUCM show the call rejected and give the reason "Forbidden"
    Calls from CUCM to VCS get fast busy and I do not see anything in the search history on the VCS.
    I've restarted the trunk and call manager service on the CUCM servers, but no change.
    I'm not really sure where to go from here.
    I followed the following guide for configuring the SIP trunk. http://www.cisco.com/c/dam/en/us/td/docs/telepresence/infrastructure/vcs/config_guide/X8-5/Cisco-VCS-SIP-Trunk-to-Unified-CM-Deployment-Guide-CUCM-8-9-10-and-X8-5.pdf
    Any help is appreciated.
    Thanks,
    Joe

    I have again checked these and identical to what I set up, We have a multiple TX9000's on the CUCM in Secure mode working perfect and can make Encrypted calls to the TPS server via a TPS TCP Trunk.
    but any calls to the VCS just fail on a VCS To CUCM Trunk using TLS with a fast busy signal. and the VCS doesn't even show a search history for it.
    In the eyes of the VCS and the CUCM there is no Issues with the TLS SIP Trunk it seems to be working, but It seems SIP TLS packets don't even leave the CUCM. I have tried almost every resource known, and thought it was me just having some random issue until I saw Josef post the same issue.
    Tomorrow I will check the X.509 names on the CUCM SIP Security Profile as this is the only thing I can think of that might cause the SIP trunk to be OK up but not route correctly maybe?

Maybe you are looking for

  • Use PIX 501 to access internet, how to?

    I have this PIX501 box and this is what I want to do: Outside: connect it to a DSL modem (yahoo/ATT SpeedStream 5100). Use DHCP Inside: connect to one or two PCs. Use static IP. The PIX box's inside IP: 192.168.1.1 The Yahoo's DNS server IP: 192.168.

  • Call Park service causing high CPU usage

    Hi, I've got a Lync 2013 pool with 4 servers running.  Everything is working fine except I notice that when I enable the call park service, the CPU usage goes from hovering at around 4% to jumping all over the place (anything from 11% to 30%).  I've

  • Final Cut to iDVD/ 2 problems

    I have a project I created in FCexpress. When I play the movie in Quicktime it looks fine. However when I created the DVD the picture leaves trails anytime there's motion. Also I seem to have lost stereo, I only noticed because it was only playing ha

  • ISE 1.2 nac agent provision

    Hi, Is there any way to do a nac agent auto provision? I know it can be achieve by cwa portal(web redirect) and user have to install nac agent manually. But we would like to see nac agent be installed right afeter user successfully login using 802.1x

  • Setting an endpoint dynamically for a business service

    I need to set an endpoint for a business service dynamically in the message flow of a proxy service. I'm basically doing what it says in this article(page 9 under heading - Registry per Individual Domain): http://e-docs.bea.com/alsb/docs26/pdf/deploy