Sld error in IR when importing SWCV

Hi
I'm unable to import any Software Component Version from the SLD into the Integration Repository. I ran transaction SLDCHECK, and successfully launched the SLD without any errors.
However, when I try to importing any software components from the SLD, the following error message is returned: Unable to read software component versions from System Landscape Directory "host addrres:50000"
in detail button
unable to import any Software Component Version from the SLD "host:50000"(swc_import_process_exception)
like this same 4 msgs comming
under this
unable to open connection to host"
host:500000".
the ip address of host could not be resolved. maybe
the URL is misspelled or the host dies no longer exist.
thess details comming in deatils button
Can anyone help please? it arzzent pls can any one help me
Many thanks in advance.

Hi,
Chek ur role and also check ur id is locked.
to know the authorization role check this link.
http://www.erpgenie.com/sap/netweaver/xi/xiauthorizations.htm
Regards,
Phani
Reward points if Helpful

Similar Messages

  • I am getting an error ORA-39143 when importing a dump file

    I get ORA-39143: dump file "c:\oraload\expdat.dmp" may be an original export dump file
    when importing a dump file created by 9.2 exp into 10.2 database using impdp
    I need to use the impdp utility with the table_exists_action option which doesn't appear to exist in imp
    What is the proper mix of versions/flags/options to export from a 9.2 database and import into an existing 10.2 database while replacing existing data?
    thanks

    well its on the link i provided
    "When tables are manually created before data is imported, the CREATE TABLE statement in the export dump file will fail because the table already exists. To avoid this failure and continue loading data into the table, set the import parameter IGNORE=y. Otherwise, no data will be loaded into the table because of the table creation error."
    original import tries to append (insert)
    there is no parameter here like table_exists_action=truncate/replace
    1. drop all the tables (dynamic sql), so there will be no errors because of already existent tables
    or
    2. truncate all the tables (dynamic sql) + use IGNORE=Y
    (or
    3. drop the whole schema in target database, recreate it, then do the import)

  • Error is STMS when import client from 2 different system

    I have two system in same landscape, system 1 is production with system ID : SEP, system 2 is develop with system ID : SED (is Domain Controller),
    system 1 & 2 in same domain with Domain Controller : DOMAIN_SED in STMS
    And then, I exported client 800 on production system (system 1) with profile SAP_EXPA
    and I have 3 request : KO00189.SEP ; KT00189.SEP ; KX00189.SEP (cofiles) and RO00189.SEP ; RT00189.SEP ; RX00189.SEP (data)
    I copied 3 request above into system 3 (system 3 is different landscape with 2 system above and i setup system 3 at different location, so called backup system. System 3 is setup with system ID: SED and Domain Controller DOMAIN_SED too)
    Then i imported client 800 into system 3
    and i have an error in system 3 : System unknown in Transport Management System
    Please help me ...
    Thanks all

    hi Shanker !
    after reset user TMSADM -> connection not change -> connection work OK
    i tried it but error remaining
    Information extra :
    system 3 is a physical system with Domain Controller : DOMAIN_SED ****** SID : SED and  extra setup a virtual system as SEP on system 3
    I configured tranport route from SED to SEP, so error "System unknown in Transport Management System" no longer
    but arise new error "Error occurred in SED TMS communication"
    Information extra error "Error occurred in SED TMS communication" when import request :
    Error occurred in SED TMS communication
    Message no. XT149
    Diagnosis
    An error occurred in the TMS communication layer of the following SAP system:
       System: SED.DOMAIN_SED(000)
       Function: TMS_CC_READ_CCCFLOW_OF_TRKORR
       Error: SERVICE_NOT_AVAILABLE ()
    System Response
    The function terminates.
    Procedure
    Check your transport control station configuration with transaction STMS.
    thanks all
    I hope error to solve soon .....

  • I/O error 103 encountered when importing data

    Hi all,
    I'm using DRM version 9.3.2.
    When I was trying to import some data into DRM. An 'I/O error 103' msg popped up and stopped the import process. I ever came with this same issue in some other environments (My Virtual Machine, e.g.), and after I rebooted the OS, the issue gone.
    But when I tried to reboot OS again this time, the issue is still there. Very wired isn't it? I searched the internet everywhere, no DRM related information found.
    Do you guys have any idea about this?
    Thanks in advance

    Hi
    The drag & drop is not bad bud I would recommend using the "Nero burning rom" software to burn the DVD.
    This software supports many burning options and allows you to burn the medium with best performance.
    Additional you should know that the drive doesn't support all CD or DVD from all manufacturers.
    In the user manual you will find a list of compatible and supported CD DVD manufacturers.
    But please don't be confused about the unknown names like i.e Mitsubishi.
    These are the manufacturers and not vendors like TDK. Don't mix manufacturer and vendor!
    best regards

  • Error and crash when importing

    Hi,
    Just curious if anyone else have had this issue, or can help
    resolve.
    Whenever I select "Import to Library..." or "Import to
    Stage..." the application crashes. It never brings up the dialog to
    select files. I get the generic windows error, something like
    "Flash CS3 has encounter and error and must close".
    I have already tried re-installing.

    Thanks for the reply!
    The audio source is a 24-second long MP3 at 265kbps.
    I've tried rendering with default losseless avi without sound, which still crashed and gave the second error message.
    Other times, I've tried H.264 MP4 with and without sound at the original resolution of the comp (1920x1080) as well as resized at 1280x720. I didn't change any settings besides Format, Resize, and Audio Output. Even when trying to render under the Quicktime format for .mov files, without sound, I crashed (though this time I got the first error message.)
    I've tried rendering different segments and the entire comp, too; every attempt after the first render failure has crashed and either given me both error messages described above or only the second error message.
    Rendering with the audio file disabled in the comp has worked at Lossless .avi default settings with audio disabled. I tried changing the Format just to H.264 MP4 and the render failed, giving me the first error message even though the audio file was muted in the comp and audio was disabled in the render settings.
    Also, this project and the sound file has rendered just fine on any format I had (used H.264 MP3 and Quicktime MOV) only a few hours ago. I don't know of anything that could have changed that caused all of these errors.
    Thank you so much for the help, haha...

  • Error in xpras when importing support packages in solution manager

    Hello,
    We are importing support packages in our solution manager and we begun
    the process more than two weeks ago and the process is still running.
    I have stopped the system and restarted the import again, but it seems
    to be the same. The SP queue is:
    SAPK-1507EINSTPL
    SAPK-1507FINSTPL
    SAPK-1507GINSTPL
    SAPK-1507HINSTPL
    SAPK-1507IINSTPL
    SAPK-1507JINSTPL
    SAPKB70015
    SAPKA70015
    SAPKITL426
    SAPKIPYJ7F
    SAPKW70017
    SAPKU50012
    SAPKB70016
    SAPKA70016
    SAPKITL427
    SAPKIBIIP9
    SAPK-40012INCPRXRPM
    SAPK-40013INCPRXRPM
    SAPK-40014INCPRXRPM
    SAPKNA7012
    SAPKNA7013
    and there is an error (rc=12) at the method execution step in package SAPKU50012 or in SAPKB70016.
    Now, there is an rc 12, but the queue is running, the import queue hasn't cancelled, but it seems to do nothing. The RDDEXECL job log is:
    Job started
    Step 001 started (program RDDEXECL, variant , user ID DDIC)
    All DB buffers of application server crosol203 were synchronized
    Error Building the ENH TreeObject Type:XHObject Name:EH_FJ_FUBA_PARA_VERS
    the job was running for 149.332 seconds and at that moment I stopped the sap system. After restating the system I imported the queue again and now, the process has been running for more than 13500 seconds.
    We have checked note number 1142410 and all the modifications were already implemented in the system.
    Thanks and best regards,
    Ana.

    Hello Sunny,
    The problem is still happening. I have read and apply all the threads you suggested, nut they didn't resolve the problem:
    I have updated the kernel
    I have checked table DRSEG and it has no problems.
    I don't have any syntax errors so I haven't run the SGEN as I think it doesn't apply.
    After changing the kernel I have started the sap system and the job rddexecl has started itself before running again the SPAM and reimporting the queue.
    I already have 6 support packages without running the method execution step and I don't know how much time it will consume.
    Regards,
    Ana

  • "Unreadable Files" error r/c when importing JPG

    My computer was recently serviced and now iPhoto "doesn't recognize" my HD photo card filled with JPG files (photos).  My camera settings haven't changed, and I've never had this problem before. 
    HOWEVER, my daughter's same laptop imports them without error.  I suppose I need to change some setting beyond my capabilities. 
    Can anyone please offer some help?
    Thanks, in advance!

    As a Test:
    Hold down the option (or alt) key and launch iPhoto. From the resulting menu select 'Create Library'
    Import a few pics into this new, blank library. Is the Problem repeated there?
    Other than that, have you considered returning to where it was "serviced" and getting them to "service it better"?
    Regards
    TD

  • Error writing metadata when Importing Lr 2.7 catalog in Lr 3 final

    Hi,
    I imported (and sucessfully converted) my Lr 2.7 Catalog in Lr3 final but now, all my photos have  this little icon that says " Error writing metadata "
    Why?
    Thx!

    According to Martin Evening in his Lightroom 2 book p. 178, "If you see the icon shown in Figure 4.74 [the !], click to open the dialog box where you can either choose Import Setting from Disk if you think the external settings are right, or choose Overwrite Settings if you think the Lightroom catalog settings are the most up to date."
    If you did not edit these images in another program like Camera Raw some unknown event caused Lightroom to indicate that the files were edited in another program.  In this case you probably do not want to include this edit.  It will probably work out if you choose Overwrite Settings which will keep the settings that you have in the LR database, disregard the changes from outside LR, and remove the !.
    If you edited these images in another program and you want to keep those changes, choose Import Settings from Disk to bring the external settings into the LR database.
    If you want to write the LR settings to the DNG files go to Metadata > Save Metadata to File or (Ctrl-S).  I strongly recommend saving LR settings to the file.  Others disagree.  In the Catalog Settings under Metadata, check Automatically Write Changes to XMP, if you prefer this to be done automatically.
    Whatever you do, be sure to backup your LR database, at least two or three times, and be sure that all of you images are backed up.
    Follow the above procedures by doing a few files at a time, and check that you are getting what you want before doing them all.

  • Errors in WSDL when imported into Eclipse or NetBeans IDE

    I have tried to import the AXL WSDL into both NetBeans 5.5 and Eclipse 3.2 IDE and got errors. In this forum I found that this problem has been reported to Cisco many times. Do we have a work around? I tried it on CCM 4.0(1). Has it been fixed in later releases on CCM? I wonder if we need to upgrade out CCM just for this?

    umm.. am I blind? I thought I had just posted here.
    Anyway.. with CCM 5.1.1 you get a WSDL that you can import with AXIS 1.4 and wsdl.exe. With earlier versions, you're off to some manual hacking on your own.
    I didn't write the webserver plugin for AXL, but I suspect the reason for this whole mess is the following: instead of using a webservice toolkit, Cisco simply wrote their own (ISAP for CCM 4.x) plugin that goes through requests line by line and analyzes them.. everything done manually. It doesn't even validate XML and in CCM 5.0.4 you can send invalid XML data (including corrupt AXL requests) to the CCM and it will be processed (in many cases you even get information back.. e.g. you can mix getphone and getline and you get a valid getphone response)) and it will get you a valid response (other than "incorrect xml"). This would also explain why the order of the xml elements is crucial.. if they had a regular webservice framework, the order would not matter as elements would be extracted from a request via dom/xquery lookups (that's how my own axl framework does it.. both require xml validation so any invalid xml axl would return would immediately trigger an error.. on the other hand, switched element order doesn't matter to my framework).
    With that in mind, it's clear that every WSDL file is hand written and unless they start from scratch with a webservice capable framework (I suspect it's written in C(++) so it's not as simply as copying and pasting code into java files and have the whole thing run as a tomcat app and use JAX to export the WSDL file), AXL will continue to be a mess.

  • Error message when importing data using Import and export wizard

    Getting below error message when importing data using IMPORT and EXPORT WIZARD
    Error 0xc0202009: Data Flow Task 1: SSIS Error Code DTS_E_OLEDBERROR.  An OLE DB error has occurred. Error code: 0x80004005.
    <dir>
    <dir>
    Messages
    Error 0xc0202009: Data Flow Task 1: SSIS Error Code DTS_E_OLEDBERROR.  An OLE DB error has occurred. Error code: 0x80004005.
    An OLE DB record is available.  Source: "Microsoft SQL Server Native Client 11.0"  Hresult: 0x80004005  Description: "Could not allocate a new page for database REPORTING' because of insufficient disk space in filegroup 'PRIMARY'.
    Create the necessary space by dropping objects in the filegroup, adding additional files to the filegroup, or setting autogrowth on for existing files in the filegroup.".
    (SQL Server Import and Export Wizard)
    Error 0xc0209029: Data Flow Task 1: SSIS Error Code DTS_E_INDUCEDTRANSFORMFAILUREONERROR.  The "Destination - Buyer_.Inputs[Destination Input]" failed because error code 0xC020907B occurred, and the error row disposition on "Destination
    - Buyer_First_Qtr.Inputs[Destination Input]" specifies failure on error. An error occurred on the specified object of the specified component.  There may be error messages posted before this with more information about the failure.
    (SQL Server Import and Export Wizard)
    Error 0xc0047022: Data Flow Task 1: SSIS Error Code DTS_E_PROCESSINPUTFAILED.  The ProcessInput method on component "Destination - Buyer" (28) failed with error code 0xC0209029 while processing input "Destination Input" (41). The
    identified component returned an error from the ProcessInput method. The error is specific to the component, but the error is fatal and will cause the Data Flow task to stop running.  There may be error messages posted before this with more information
    about the failure.
    (SQL Server Import and Export Wizard)
    Error 0xc02020c4: Data Flow Task 1: The attempt to add a row to the Data Flow task buffer failed with error code 0xC0047020.
    (SQL Server Import and Export Wizard)
    </dir>
    </dir>
    Error 0xc0047038: Data Flow Task 1: SSIS Error Code DTS_E_PRIMEOUTPUTFAILED.  The PrimeOutput method on Source - Buyer_First_Qtr returned error code 0xC02020C4.  The component returned a failure code when the pipeline engine called PrimeOutput().
    The meaning of the failure code is defined by the component, but the error is fatal and the pipeline stopped executing.  There may be error messages posted before this with more information about the failure.
    (SQL Server Import and Export Wizard)
    Smash126

    Hi Smash126,
    Based on the error message” Could not allocate a new page for database REPORTING' because of insufficient disk space in filegroup 'PRIMARY'. Create the necessary space by dropping objects in the filegroup, adding additional files to the filegroup, or setting
    autogrowth on for existing files in the filegroup”, we can know that the issue is caused by the there is no sufficient disk space in filegroup 'PRIMARY' for the ‘REPORTING’ database.
    To fix this issue, we can add additional files to the filegroup by add a new file to the PRIMARY filegroup on Files page, or setting Autogrowth on for existing files in the filegroup to increase the necessary space.
    The following document about Add Data or Log Files to a Database is for your reference:
    http://msdn.microsoft.com/en-us/library/ms189253.aspx
    If there are any other questions, please feel free to ask.
    Thanks,
    Katherine Xiong
    Katherine Xiong
    TechNet Community Support

  • Error message when Importing a slides (attached)

    Posting on behalf of co-worker who gets the following error when importing cp slides:
    any help appreciated
    thanks

    Hi toll,
    Instead of Importing your slides, try copying and pasting them from the original Project file into a new instance of Adobe Captivate and see if that makes any difference.
    Let us know how you get on.
    Best regards,
    Mark

  • Error message when Importing a slide

    Hello,
    Captivate 4 - When importing a slide from one project to another, importing stops in the middle and an error message pops up "Adobe Captivate have encounter a problem and needs to close".   Could it be because the project has been published?  Please advise.

    Hello,
    Acrobat.com is a free service from Adobe, where you can store up to 5GB. You just type in the URL (acrobat.com), log in with your Adobe ID (the same you use for these forums) and can start putting files on it. You can share the file (it is in the right-click menu) and send the link to someone. I'll send you a private message with my e-mail address.
    Here you can find some information about acrobat.com: http://www.adobe.com/acom/usewith/
    Lilybiri

  • Error message when importing CD's into iTunes.

    I've just started getting an error message when importing audio CD's into itunes. +"Error occured while converting the file "........". The disk could not be read from or written to."+ I've been importing CDs for years now and this just started happening last night. The disk image shows up on the desktop, itunes opens and recognizes the disk and searches the database and finds the artist/track names/album etc. Then when I click the import button, I get this message. If I click on the disk image in the desktop and click on a specific file it will play through iTunes. No new changes except for maybe downloading the latest iTunes update. Any suggestions? Thank you very much in advance.

    Iron-Mike wrote:
    Every time I import a CD, mp3 is louder then AAC. It's just every so often if I try AAC the volume will be really low. Like barely hearable. Maybe it has to with the fact the CD was released long before AAC format was around? Something to do with compatibility maybe?
    Iron-Mike, MP3 and AAC were both invented well after CD audio and both algorithms are well aware of CD format. Make sure you have "Soundcheck" settings turned on both for iTunes playback and for CD burning. iTunes Soundcheck is supposed to normalize the volumes in spite of variations in the files. Many people have noticed that they don't really work very well, but having them on is better than nothing.

  • Error when import  metadata form Oracle Olap (aw) to rpd

    Hi all,
    When import Oracle OLAP analytic workspace to rpd user bi Admin tool, i get error:
    [nQSError: 77031] Error occurs while calling remote service AWImportService11G. Details: Error getting AW version -- IO error: The network Adapter could not establish the connection
    I think that BI server hot support version of analytics workspace.
    BI server: BIEE 11.1.1.5
    Oracle DB: 11g
    AWM: awm 112020B Standalone
    So, can you help me fix it or tell me about suport AW version of BI server.
    Thanks so much.

    user12232768 wrote:
    But this document just help me fix problem with connection string, in this case after that, i get problem with version of AW.So you get the same error again? Or is this a new error? Also, how are you importing into the repository? Are you using the OBIEE Plug-in for Analytic Workspace Manager?
    I also found a similar query in the forum, see if this helps:
    Re: Import OLAP Metadata in OBIEE 11.1.1.5 failing
    Edited by: JaiG on Nov 8, 2012 12:56 PM

  • Error when importing Web Service Model

    Hi,
    I am having trouble importing a Web Service model into my Web Dynpro component.
    I have successfully published my SessionBean method as a Web Service which works correctly when used from the Web AS Web Service Navigator.
    However, my Web Dynpro cannot correctly create a model from the WSDL. The problem seems to be with the last parameter of the method, a two-dimensional array of Strings. When importing the web service model, the following line of code get created in the respective Model class:
    logicalPort.generateMetadata(this.getKeywordsAsArray(), this.srcLang, this.getTgtLangAsArray(), ERROR no prop = parameters
    Obviously there is a problem with the code generation for the last parameter (the two-dimensional array) which results in this "ERROR no prop = parameters" message.
    Does anyone have an idea what I might be doing wrong? Is there a problem with multidimensional arrays in Web Dynpro model classes? I originally wanted to use a HashMap but naturally had to use a simple data type - any other ideas for a workaround?
    Cheers,
    Tim

    Hi,
    First, can you check whether you able to access your web service url in browser?
    or
    you can test your webservice from the NWDI Web service perspective, here you can test your web service is correctly functioning or not before using in your Web Dynpro application.
    This document clearly explains How to test the web service url and what is the correct procedure to consume the web service in SAP portal.
    [https://www.sdn.sap.com/irj/sdn/go/portal/prtroot/docs/library/uuid/b07992b3-b5af-2a10-9886-affcbeccc4c9]
    [http://help.sap.com/saphelp_nw70/helpdata/en/f4/1a1041a0f6f16fe10000000a1550b0/content.htm]
    Regards
    Raghu

Maybe you are looking for

  • Scheduling Agreement LU: Can Route be changed in the SA item?

    Hi all, I noticed that in the Transport Scheduling Agreement SA type "LU"  but in general I think in any SA there is no any chance to change the route determined by the system in the shipping data within the item of SA. If you select Menu Item > More

  • VS2013 Installation failed - The Temp folder is on a drive that is full or is inaccessible

    When I trying to install Visual Studio 2013 Premium either from .ISO or web installer, installation fails on installing Microsoft Visual C++ 2013 x86 Minimum Runtime - 12.0.21005 with error: The Temp folder is on a drive that is full or is inaccessib

  • Blackberry as a modem??

    anyone know if or how I can use my blackberry at home to connect my computer to the internet?  Is this even possible?  I don't have this service at home only at work.

  • Parallel filesystem

    In my department we are considering to install a parallel filesystem for our cluster of machines. Do you have any experience and/or recommendations about it? What we have: raids for home directories and work data, a cluster with around 200 multi-proc

  • Set filename to metadata field

    I'm currently in the process of building a Final Cut Server workflow. One part in the workflow is to trancode an asset to MPEG2 for broadcast. We use conventional filenames, so if we trancode the file should get a given filename and title. In FCSRV I