Strange Tags in generated Code

Hello!
I have taken a look at the genered source codes from HTML-DB. There is one thing I don't understand:
There are two additional tags rendered with each input field:
<a title="Edit" href="javascript:popupURL('f?p=4000:371:277114379015509780::::P371_ID,FB_FLOW_ID,FB_FLOW_PAGE_ID:6525901452107339,200,301');" tabindex="999"><img src="/i/e.gif" alt="Edit" class="eLink" /></a>
<td colspan="1" rowspan="1" align="left"><input type="hidden" name="p_arg_names" value="5761808916321121" />
I think i have seen similar tags very often in the code.
Can you tell me what this tag are for?? I really like to know it!
Are there some docs about some internal or technical details of the HTML-DB?
Big thanks!
Daniel

Hello!
Sorry.
I have read it.
1) But what do i need these tags for? The value is in the visible field, so what do i need a additional hidden one?
You don't explained what the hidden field exactly is doing. I mean, to get the value, it seems that one field is enough.
2) Do you have a document which describes the html-db in a little better detail, you could send to me? I would be very pleased.
You write public. So a suggested you have other ones, and maybe you could send me some info.
3) Is there something better than the user manual?
I meant something in more detail in general, not only on this topic.
Many Thanks

Similar Messages

  • Strange trace files after code generation! Are they generated by XText?

    We have a DSL from which we generate C-Code (.c and .h files). The project build (especially on Windows platform) is very slow mainly due to refreshing and indexing (by Eclipse CDT) mysterious trace files.
    Currently we don't know which part of the framework is responsible for generating these trace files (CDT, XText, Eclipse, whatever ...).
    We were not able to analyse the content of the trace file, since none of the files appear at the file system.
    The filename syntax is as follows:
    For a C source code file "/project/src-gen/my_c_function.c" the following trace file gets generated: "/project/src-gen/.my_c_function.c._trace"
    Does anybody know if XText is responsible for this ?

    Hi
    Sounds like a bad bug. I would progress in two ways.
    Load EGIT as source and profile to see where the time goes.
    Use Wireshark to see if unexpected Internet traffic is occurring quite
    possibly with long timeouts.
    Regards
    Ed Willink
    On 02/07/2015 06:58, Wolfgang Zeller wrote:
    > Many thanks for your support so far.
    >
    > Debugging the code gave us some new information. Our generator does
    > not create the trace files but the XText framework tries to access
    > trace files for generated code during the build process. During this
    > process, XText checks the existence of each trace file and if they do
    > not exist, it simply continues.
    >
    > The problem is that we are using Git (EGit) for our projects. For any
    > reason, EGit wants to refresh the Git status of the trace files and
    > produces nice "hangs" (sometimes minutes for a single "non-existing"
    > trace file).
    >
    > We have tried several patterns for the trace files in our .gitignore
    > file with no positive effect.
    >
    > Is there anybody outside who can help us to make at least a small step
    > forward?

  • How to use generated code from "Import Web Services" with Cairngorm Framework

    I recently downloaded Flex Builder 3 beta 2 and tried out the
    wizard that lets you import web services. The code that is
    auto-generated makes if fairly straight forward to consume web
    services using the object types defined in the WSDL. No longer does
    the developer need to decode the XML payload! The only problem I am
    having is how does you integrate the auto- generated code with the
    Cairngorm framework? This seems like a huge question for anyone who
    might want to leverage Cairngorm and the auto-generated proxy code
    in the same project (like me).
    Here are the problems that I see so far.
    1) How do you configure the generated service class to work
    with the Cairngorm service locator? The service constructor only
    accepts a “LCDS destination string” which implies that
    you must use Lifecycle data services. Unfortunately, the project I
    am trying to retrofit currently uses a WebService and does not use
    data services. All I really need to do is change the endpoint URL
    (ie from local to a development server). This issue is noted in the
    bug https://bugs.adobe.com/jira/browse/FB-8456. What I think is
    needed is a way to set the endpointURI in the Services.mxml file.
    2) Even if I come up with a hack around #1, I do not receive
    a callback to my IResponder even though I register it immediately
    after the method call. I can register and listener function within
    my business delegate and receive the callback, but my Command
    object, which implements IResponder, does not receive the call back
    even though it is registered. From what I read in the ASDocs it
    should but it doesn’t for me!
    These are the issues I have observed in 3 hours of messing
    with this. I hope this makes sense. I would love to integrate
    auto-generated web service proxies into Cairngorm but I don’t
    see a straight forward way without re-architecting Cairngorm. Has
    any one else run across this issue? If so, do you have any insights
    on how to proceed? Any help is appreciated.

    Since I posted this question, I have abandoned the notion of
    auto-generated web services and embraced the good old FDS concept
    where the RemoteObject meta-tag does all the conversion work for
    me. We are now using the Granite DS package and it is working well
    for us. I would love to consume web services, but it just isn't
    worth the hassle when all you have to do with Granite (and FDS) is
    cast your return objects to the proper object type.
    BTW, since this posting, I have investigated competing Flex
    app frameworks. After my research, I checked out the PureMVC
    framework. Wow!! Cairngorm always left me with an uneasy feeling
    and I guess I am not alone. Apparently, Cliff Hall felt the same
    way. That is why he started the project. I like his approach alot
    more than Cairngorm especially since it includes notifications
    which allow me to broadcast my own app level events independent
    from the AS Event framework. Check out PureMVC. For what it is
    worth, it has my humble endorsement. Cliff was even gracious enough
    to acknowledge the other Adobe Consulting guys for their work. Good
    for you Cliff, I respect that. Check out a better way at
    http://www.puremvc.org/

  • Error in generated code :Component Interface

    Hi All,
    I have my webdynpro component active works fine in Dev Server.
    When we move it to qualtiy we got a strange error " Error in Generated Code, Type Z*IWCI is unknown.
    Its related to the Component interface which gets generated automatically while any WDA is created but in our system it isnt getting generated?
    Looking for your valuable comments on ths
    Regards
    Bhanu

    Hi Bhanu,
    Goto se09 check for that request ICF service is generated or not? I think you first created in $TMP and assigned package.
    If ICF service is not available, just copy it to new comp, it will create new ICF service. I dont know this is the solution,
    just a guess.
    Cheers,
    Kris.

  • No DBLinks in the the generated code

    Hi guys,
    I am facing a strange problem. The code generated for my mapping does NOT have the dblinks. My OWB version is 10.1.0.4
    This is my problem in brief.
    I have installed OWB recently and started to do a sample task. I created a very simple one to one table population mapping from source to the target schema. Both are in the same database. When i generated the code for the mapping, it gave a warning 'VLD-2771: System privileges may not allow extraction from source EMP'.
    And the mapping gave an error when i deployed the code.
    When i checked the code which was generated, i couldnot see any dblinks associated with my source table( which seems strange)
    CURSOR "INGRP_c" IS
    SELECT
    "EMP_SRC_TRG_CONN"."EMPNO" "EMPNO",
    "EMP_SRC_TRG_CONN"."ENAME" "ENAME",
    "EMP_SRC_TRG_CONN"."JOB" "JOB",
    "EMP_SRC_TRG_CONN"."MGR" "MGR",
    "EMP_SRC_TRG_CONN"."HIREDATE" "HIREDATE",
    "EMP_SRC_TRG_CONN"."SAL" "SAL",
    "EMP_SRC_TRG_CONN"."COMM" "COMM",
    "EMP_SRC_TRG_CONN"."DEPTNO" "DEPTNO"
    FROM "SCOTT"."EMP" "EMP_SRC_TRG_CONN" ;
    In brief this is the process i have done.
    Source schema : SCOTT & Target schema : TRG_SCHEMA
    1) I have created source (for SCOTT) and target(for TRG_SCHEMA) modules.
    2) I have also created DBLinks, Locations and Connector from source to the target locations.
    3) I registered both the source location and target locations.
    4) Validated, Generated and Deployed the Connector from source to the target.
    I was unable to trace the error. Did i miss anything in the configuration? or during the installation of OWB.
    Though it is a very old post, i hope someone can help me out here.
    Thanks in Advance,
    Sri
    Edited by: SriGP on Jul 2, 2009 6:34 AM

    If they are in the same db then you don't need dblink and error you're getting says that schema from witch you're trying to fetch the data does not have select privlige set on emp table.
    Grant select rights on the object, deploy it and see if it works. If it does, then just ignore this error.

  • Is it possible to alter generated code?

    When adding an image to a page in Dreamweaver, you first select the image from the chose file dialog, then the code comes out like this:
    However, I'm going to be implimenting a lot of Facebook to a certain site and they require rel="image_src" in the image tag. Is there a way in Dreamweaver where I can change the default generated code when inserting an image to include this? The idea of adding this every single time I add an image to a page (and there are a lot of them) makes me cringe.

    988hfas9fhaf8 wrote:
    I'm going to be implimenting a lot of Facebook to a certain site and they require rel="image_src" in the image tag. Is there a way in Dreamweaver where I can change the default generated code when inserting an image to include this?
    If you want it for every single image that you insert, you can edit Image.js in the Dreamweaver Configuration folder. On Windows 7, it's located in C:\Program Files (x86)\Adobe\Adobe Dreamweaver CS5\configuration\Objects\Common. On Mac OS X, it will be in a similar location in the Applications folder.
    Locate the following lines (45-49 in Dreamweaver CS5):
    if (imgDim){
      rtnStr= '<img src="' + newURL + '" width="' + imgDim[0] +'" height="' + imgDim[1] + '">';
    }else{
      rtnStr= '<img src="' + newURL + '">';
    Change them like this:
    if (imgDim){
      rtnStr= '<img src="' + newURL + '" width="' + imgDim[0] +'" height="' + imgDim[1] + '" rel="image_src">';
    }else{
      rtnStr= '<img src="' + newURL + '" rel="img_src">';

  • Generated Code - Setter Style Issue

    Suggestion -- rather than generate setter code like this:
       public void setFacultyRowSet(JdbcRowSetXImpl jrsxi) {
            this.facultyRowSet = jrsxi;
        }Use this pattern:
      public void setFacultyRowSet(JdbcRowSetXImpl facultyRowSet ) {
            this.facultyRowSet = facultyRowSet;
        }It's more than just a style issue. It's also an issue of clarity. When using code completion and jrsxi appears, it looks like a mistake. Having facultyRowSet appear instead is more comfortable looking because it reinforces what the setter is all about.

    Hello James,
    I have noticed some strange looking variable names too in the generated code. Taking your suggestion into consideration I have filed an RFE for the same.
    Thank you very much for the feed back. Please do continue to share your experiences and giving your feedback to us.
    Cheers :-)

  • Error while generating code in brf+ function

    Hi all,
    i am getting error while generating code in function in bRF+
    am using weight fields ..if i dont use quantity fields am able to generate code .
    please help.
    Thanks.

    Can you provide more details? What exactly is the error?
    I think we have provided a note for the issue. With the details it should be possible to identify the note number.

  • OWB Error while generating Code for a mapping

    I have a simple mapping loading from a source to a flat file. When I try to generate code it gives me the following Error
    VLD-2357: Target Data File name not specified for file <target Flat file name>.
    I am new to OWB so any help on the same will be greatly appreciated. How can I specify target Data File name in the mapping configuration under Flat file operators
    Thanks
    Anish

    If you configure the mapping in the UI from the main design tree and select the name of your file operator under the tree node 'Flat File Operators' on the right hand side panel the properties should be displayed - one of these properties is 'Target Data File Name', enter the name of your target data file name.
    Cheers
    David

  • Error while deploying generated code from sup to iPhone

    Hi
    I generated the code using Sap unwired platform for Objective-c.  I followed the steps specified in the below link
    http://infocenter.sybase.com/help/index.jsp?topic=/com.sybase.infocenter.dc01213.0153/doc/html/mqu1264543829068.html
    for deploying the generated code into iPhone simulator, i am getting the following error
    Undefined symbols:
      "_OBJC_CLASS_$_SUPEntityAlias", referenced from:
          objc-class-ref-to-SUPEntityAlias in SUP101_Customer.o
          objc-class-ref-to-SUPEntityAlias in SUP101_LogRecordImpl.o
    Can any one help me in this
    Edited by: KiranSanka on Dec 2, 2010 1:18 PM
    Edited by: KiranSanka on Dec 3, 2010 7:26 AM

    Hi
    While compiling did you get a warning? something along the line "missing required architecture i386 in fileu201D? if so refer this link http://stackoverflow.com/questions/1456185/build-error-missing-required-architecture-i386-in-file
    Regards
    Jinesh

  • Error while generating code for deployment

    I am getting the following error:
    Error generating findTest1ByMarks(java.lang.Integer) query for Bean Test1(Abstract scheme name=Test1) Error=query cannot be pushed down
    Query="select ..."
    Could someone help me?
    I am trying to generate code of Container Managed Entity Bean in WSAD 5.0.
    Thanks

    If you configure the mapping in the UI from the main design tree and select the name of your file operator under the tree node 'Flat File Operators' on the right hand side panel the properties should be displayed - one of these properties is 'Target Data File Name', enter the name of your target data file name.
    Cheers
    David

  • Auto generated code in makefile

    For our product we have a TCL script that reads a series of text files and generates C++ classes for easy access to database records. Our code has been in use for make years and works very well. We have always used a solaris command prompt dmake to compile, which first generates the C++ files then complies them. It uses a series of enviroment variables which a user must set before compilation.
    I recently tried to create a Sun Studio Express based on NetBeans 6.5.rc1 project from a make file. This has worked for every other makefile except for this one. The others do not have any auto generated code.
    To run sun studio I in a command prompt source in the environments then run netbeans. Then I choose to build the product but I get an error. I then try to copy the command it is running into telnet window and it works fine. Does anyone have an idea on why in the sun studio I get and error while the telnet window works fine.

    I think the problem is that the SunStudio IDE runs the build command in a wrong directory.
    Can you verify that the working directory is correct?
    (it is in project properties: Build > Make)
    Also you can find this directory in the message in the output, when you try to build the project.
    That's the message, that you copied to the terminal window.
    Thanks,
    Nik

  • I have new Adobe premier Elements13 and Photoshop elements 12, but Cannot access website to generate code and register

    I have new Adobe premier Elements13 and Photoshop elements 12, (Download from Amazon) but Cannot access website to generate code and register. Please help if you can.
    I do not know how to find my PC specific code, nor can I simply use another PC if the programs are then only for use on that (not my main) PC!. I have entered the correct serial/codes which came in the download files but simply cannot go further as each time I try it fails to connect with the website?
    Vince

    Please post Photoshop Elements related queries over at
    http://forums.adobe.com/community/photoshop_elements

  • Need help with flashvars in cs5.5 html generated code

    how could use flashvars in cs5.5 html generated code, there are tutorial in net about flashvars but its all cs4 with javascript in it while cs5.5 html code doesn't have. here is the code for non-IE generated by cs5.5
    <!--[if !IE]>-->
    <object type="application/x-shockwave-flash" data="sample.swf" width="800" height="800">
    <param name="movie" value="sample.swf" />
    <param name="quality" value="high" />
    <param name="bgcolor" value="#000000" />
    <param name="play" value="true" />
    <param name="loop" value="true" />
    <param name="wmode" value="window" />
    <param name="scale" value="showall" />
    <param name="menu" value="true" />
    <param name="devicefont" value="false" />
    <param name="salign" value="" />
    <param name="allowScriptAccess" value="sameDomain" />
    <!--<![endif]-->
    <a href="http://www.adobe.com/go/getflash">
    <img src="http://www.adobe.com/images/shared/download_buttons/get_flash_player.gif" alt="Get Adobe Flash player" />
    </a>
    <!--[if !IE]>-->
    how can I put flashvars in html and as3?

    <!--[if !IE]>-->
    <object type="application/x-shockwave-flash" data="sample.swf" width="800" height="800">
    <param name="movie" value="sample.swf" />
    <param name="quality" value="high" />
    <param name="bgcolor" value="#000000" />
    <param name="play" value="true" />
    <param name="loop" value="true" />
    <param name="wmode" value="window" />
    <param name="scale" value="showall" />
    <param name="menu" value="true" />
    <param name="devicefont" value="false" />
    <param name="salign" value="" />
    <PARAM NAME=FlashVars VALUE="imageFilename=images%2Fimage1%2Ejpg">
    <param name="allowScriptAccess" value="sameDomain" />
    <!--<![endif]-->
    <a href="http://www.adobe.com/go/getflash">
    <img src="http://www.adobe.com/images/shared/download_buttons/get_flash_player.g if" alt="Get Adobe Flash player" />
    </a>
    <!--[if !IE]>-->
    and you can read via flash AS3:
    http://www.permadi.com/tutorial/flashVars/indexAs3.html

  • NoSuchMethodError in findMethodInfo(__methodSig) in ejbc generated code

    Hi All,
    Happy new year!
    Does anyone know when weblogic.ejbc calls "findMethodInfo(__methodSig)" in its
    generated "*HomeImpl.java" classes?
    This is causing my code to end in a NoSuchMethodError exception.
    The generated code for the included beanManaged.AccountHome does not include this
    call, while the code generated for my code does.
    Can anyone tell me why?
    Anyone from the Weblogic people?
    Thanks,
    Boogie

    boogie wrote:
    Rob Woollen <[email protected]> wrote:
    boogie wrote:
    Thanks for the reply, Rob.
    So the "findMethodInfo()" is caused by the presence of multiple interfacesat
    compile time.It's probably caused because ejbc generates code for version 1 of your
    interface
    but you then deploy a jar that loads version 2 of your interface.
    <boogie>
    i'm using the same interface. however, at compile time, the interface is both
    on the classpath (since i've just compiled it) and in the pre-ejbc jar file (which
    i'm passing to weblogic.ejbc).If it's in the classpath and in the ejb.jar, then ejbc finds the version in the classpath and
    generates code against it.
    from what you've said, i gather this is why ejbc
    puts in a "findMethodInfo()" call in the --HomeImpl.java files that it generates.
    </boogie>
    <boogie>
    SCENARIO 1: I use my build script.
    condition: the home interface is found and compiled, the EJB classes placed in
    a temporary jar file, then passed to EJBC (with -keepgenerated flag)
    output: the generated MyBeanHomeImpl.java calls "findMethodInfo()" and i get NoSuchMethodError
    exception at runtime
    If the version in the classpath and the version in the jar file were exactly the same, then ejbc
    would run fine. It fails when they are different. ejbc is generating code for a method that
    appears in the version that it is loading.
    -- Rob
    >
    SCENARIO 2: I manually build.
    condition: i jar the files manually, pass the jar to weblogic.ejbc (with -keepgenerated)
    without specifying a classpath; the current classpath doesn't include the compiled
    home interface;
    output: the generated MyBeanHomeImpl.java doesn't call "findMethodInfo()", code
    runs as expected
    SO, i need to build with scenario 1 AND still make it run at runtime. i don't
    have multiple copies of the EJB classes/interfaces at deployed or in the classpath
    runtime, but i keep getting the NoSuchMethodError exception because of the "findMethodInfo()"
    call that weblogic.ejbc insists on making. what can I do to solve this problem?
    thanks!
    really appreciate the help!
    </boogie>
    -- Rob
    Rob Woollen <[email protected]> wrote:
    There's some sort of mis-match between the interfaces that ejbc is
    finding
    (and
    generating code for) and the interfaces being deployed.
    I would check your classpath and remove all occurrences of the homeinterface
    class. It should only be in the jar file. Then re-run weblogic.ejbc
    -- Rob
    Boogie wrote:
    Hi All,
    Happy new year!
    Does anyone know when weblogic.ejbc calls "findMethodInfo(__methodSig)"in its
    generated "*HomeImpl.java" classes?
    This is causing my code to end in a NoSuchMethodError exception.
    The generated code for the included beanManaged.AccountHome does
    not
    include this
    call, while the code generated for my code does.
    Can anyone tell me why?
    Anyone from the Weblogic people?
    Thanks,
    Boogie

Maybe you are looking for

  • Can Web Intelligence display time in 24-hour clock format?

    I would appreciate your kind help in answering this question:  How can I change the time format in a formula so as to display time in the 24-hour clock format?           Presently the CurrentTime() value format looks like this  :  02:26:25 PM GMT-04:

  • Translate function help

    Please some one explain why it is showing output of below query select translate('XXXX','X','ABCD') FROM DUAL; AAAA

  • Serious 2.x media sync / ID3 indexing issue

    I've found a serious issue with the media indexer, I don't know if this has been reported before. Right now, my Pre 2 with webOS 2.1 is unusable as a mp3-player. First I've tried to sync music with iTunes on my Mac with "The Missing Sync". Everything

  • I am unable to update Adobe Flash and want it activated.Thanks

    I have tried multiple times to update Adobe Flash which was deactivated by Firefox. and have been unsuccessful.Need this system to view many of my e-mails/websites.Could you activate for me?

  • Some Mail Perfernces keep reseting.

    Any ideas on this? 1. Under preferences/accounts/ <my pop account>/advanced. Is a check box, 'Remove copy from server after retriving... I like to have this checked, and right away selected from the options below. The problem is it keeps unchecking i