Tutorial on integrating C/C++ code into LabVIEW (6.0 through 7.0)?

Where can I get a tutorial that will show me how to integrate C or C++ code into LabVIEW?

I would also check the Using External Code in LabVIEW manual, found here
Bilal Durrani
NI
Bilal Durrani
NI

Similar Messages

  • Integrating C code into labview for ARM

    Hello,
          I wish to use the library functions written by Luminary engineers for their cortex-m3 controllers. If i wish to use these libraries written in C language in Labview, what i should do? There are large number of labraries are available in their websites. If any one has implemented these libraries in labview please write to me. 
    Nabhiraj

    I would also check the Using External Code in LabVIEW manual, found here
    Bilal Durrani
    NI
    Bilal Durrani
    NI

  • How to import Verilog codes into LabVIEW FPGA?

    I tried to import Verilog code by instantiation followed by the instruction in http://digital.ni.com/public.nsf/allkb/7269557B205B1E1A86257640000910D3, 
    but still I can see some errors while compiling the VI file.
    Simple test Verilog file is as follows:
    ==============================
    module andtwobits (xx, yy, zz);
    input xx, yy;
    output reg zz;
    always @(xx,yy) begin
    zz <= xx & yy;
    end
    endmodule
    ==============================
    and after following up the above link, we created the instantiation file as
    ==============================================
    library ieee;
    use ieee.std_logic_1164.all;
    entity mainVHDL is
    port(
    xxin: in std_logic;
    yyin: in std_logic;
    zzout: out std_logic
    end mainVHDL;
    architecture mainVHDL1 of mainVHDL is
    COMPONENT andtwobits PORT (
    zz : out std_logic;
    xx : in std_logic;
    yy : in std_logic);
    END COMPONENT;
    begin
    alu : andtwobits port map(
    zz => zzout,
    xx => xxin,
    yy => yyin);
    end mainVHDL1;
    ==============================================
    Sometimes, we observe the following error when we put the indicator on the output port,
    ERROR:ConstraintSystem:58 - Constraint <INST "*ChinchLvFpgaIrq*bIpIrq_ms*" TNM =
    TNM_ChinchIrq_IpIrq_ms;> [Puma20Top.ucf(890)]: INST
    "*ChinchLvFpgaIrq*bIpIrq_ms*" does not match any design objects.
    ERROR:ConstraintSystem:58 - Constraint <INST "*ChinchLvFpgaIrq*bIpIrq*" TNM =
    TNM_ChinchIrq_IpIrq;> [Puma20Top.ucf(891)]: INST "*ChinchLvFpgaIrq*bIpIrq*"
    does not match any design objects.
    and interestingly, if we remove the indicator from the output port, it sucessfully compiles on the LabVIEW FPGA.
    Could you take a look at and please help me to import Verilog to LabVIEW FPGA?
    I've followed the basic steps of instantiation on the above link, but still it won't work.
    Please find the attachment for the all files.
    - andtwobits.v : original Verilog file
    - andtwobits.ngc: NGC file
    - andtwobits.vhd: VHD file after post-translate simulation model
    - mainVHDL.vhd: instantiation main file
    Since there is no example file for Verilog (there is VHDL file, but not for Verilog), it is a bit hard to do the simple execution on LabVIEW FPGA even for the examples.
    Thank you very much for your support, and I'm looking forward to seeing your any help/reply as soon as possible.
    Bests,
    Solved!
    Go to Solution.
    Attachments:
    attach.zip ‏57 KB

    Hi,
    I am facing problem in creating successfully importing  VHDL wrapper file for a Verilog module,into LabVIEW FPGA using CLIP Node method. Please note that:
    I am working on platform SbRIO-9606.
    Labiew version used is 2011 with Xilinx 12.4 compiler tools
    NI RIO 4.0 is installed
    Xilinx ISE version installed in PC is also 12.4 webpack ( Though I used before Xilinx 10.1 in PC for generating .ngc file for verilog code FOR SbRIO 9642 platform, but problem remains same for both versions)
    Query1. Which versions of Xilinx ISE (to be installed in PC for generating .ngc file) are compatible with Labview 2011.1(with Xilinx 12.4 Compiler tools)? Can any version be used up to 12.4?
    Initially I took a basic and gate verilog example to import into LabVIEW FPGA i.e. simple_and.v and its corresponding VHDL file is SimpleAnd_Wrapper.vhd
    ///////////////// Verilog code of “simple_and.v”//////////////////////
    module simple_and(in1, in2, out1);
       input in1,in2;
       output reg out1;
       always@( in1 or in2)
       begin
          out1 <= in1 & in2;
       end
    endmodule
    /////////////////VHDL Wrapper file code of “SimpleAnd_Wrapper.vhd” //////////////////////
    LIBRARY ieee;
    USE ieee.std_logic_1164.ALL;
    ENTITY SimpleAnd_Wrapper IS
        port (
            in1    : in std_logic;
            in2    : in std_logic;
            out1   : out std_logic
    END SimpleAnd_Wrapper;
    ARCHITECTURE RTL of SimpleAnd_Wrapper IS
    component simple_and
       port(
             in1    : in std_logic;
             in2    : in std_logic;
             out1   : out std_logic
    end component;
    BEGIN
    simple_and_instant: simple_and
       port map(
                in1 => in1,
                in2 => in2,
                out1 => out1
    END RTL;
    Documents/tutorials followed for generating VHDL Wrapper file for Verilog core are:
    NI tutorial “How do I Integrate Verilog HDL with LabView FPGA module”. Link is http://digital.ni.com/public.nsf/allkb/7269557B205B1E1A86257640000910D3
    In this case, I did not get any vhdl file after “post-translate simulation model step” in netlist project using simple_and.ngc file previously generated through XST. Instead I got was simple_and_translate.v.
    Query2. Do I hv to name tht “v” file into “simple_and.vhd”?? Anyways it did not work both ways i.e. naming it as “simple_and with a “v” or “vhd” extension. In end I copied that “simple_and.v” post translate model file, “simple_and.ngc”, and VHDL Wrapper file “SimpleAnd_Wrapper.vhd” in the respective labview project directory.
    Query3. The post-translate model file can  also be generated by implementing verilog simple_and.v  file, so why have to generate it by making a separate netlist project using “simple_and.ngc” file? Is there any difference between these two files simple_and_translate.v generated through separate approaches as I mentioned?
    2. NI tutorial “Using Verilog Modules in a Component-Level IP Design”. Link is https://decibel.ni.com/content/docs/DOC-8218.
    In this case, I generated only “simple_and.ngc” file by synthesizing “simple_and.v “file using Xilinx ISE 12.4 tool. Copied that “simple_and.ngc” and “SimpleAnd_Wrapper.vhd” file in the same directory.
    Query4. What is the difference between this method and the above one?
    2. I followed tutorial “Importing External IP into LABVIEW FPGA” for rest steps of creating a CLIP, declaring it and passing data between CLIP and FPGA VI. Link is http://www.ni.com/white-paper/7444/en. This VI executes perfectly on FPGA for the example”simple_and.vhd” file being provided in this tutorial.
    Compilation Errors Warnings received after compiling my SimpleAnd_Wrapper.vhd file
    Elaborating entity <SimpleAnd_Wrapper> (architecture <RTL>) from library <work>.
    WARNING:HDLCompiler:89"\NIFPGA\jobs\WcD1f16_fqu2nOv\SimpleAnd_Wrapper.vhd"    Line 35: <simple_and> remains a black-box since it has no binding entity.
    2. WARNING:NgdBuild:604 - logical block 'window/theCLIPs/Component_ dash_Level _IP_ CLIP0/simple_and_instant' with type   'simple_and' could not be resolved. A pin name misspelling can cause this, a missing edif or ngc file, case mismatch between the block name and the edif or ngc file name, or the misspelling of a type name. Symbol 'simple_and' is not supported in target 'spartan6'.
    3. ERROR:MapLib:979 - LUT6 symbol   "window/theVI/Component_dash_Level_IP_bksl_out1_ind_2/PlainIndicator.PlainInd icator/cQ_0_rstpot" (output signal=window/theVI/ Component_dash_Level _IP_bksl_out1_ ind_2/PlainIndicator.PlainIndicator/cQ_0_rstpot) has input signal "window/internal_Component_dash_Level_IP_out1" which will be trimmed. SeeSection 5 of the Map Report File for details about why the input signal willbecome undriven.
    Query5. Where lays that “section5” of map report? It maybe a ridiculous question, but sorry I really can’t find it; maybe it lays in xilnx log file!
    4. ERROR:MapLib:978 - LUT6 symbol  "window/theVI/Component_dash_Level_IP_bksl_ out1_ind_2/PlainIndicator.PlainIndicator/cQ_0_rstpot" (output signal= window / theVI/Component_dash_Level_IP_bksl_out1_ind_2/PlainIndicator.PlainIndicator/ cQ_0_rstpot) has an equation that uses input pin I5, which no longer has a connected signal. Please ensure that all the pins used in the equation for this LUT have signals that are not trimmed (see Section 5 of the Map Report File for details on which signals were trimmed). Error found in mapping process, exiting.Errors found during the mapping phase. Please see map report file for more details.  Output files will not be written.
    Seeing these errors I have reached the following conclusions.
    There is some problem in making that VHDL Wrapper file, LabVIEW does not recognize the Verilog component instantiated in it and treat it as unresolved black box.
    Query6. Is there any step I maybe missing while making this VHDL wrapper file; in my opinion I have tried every possibility in docs/help available in NI forums?
    2. Query7. Maybe it is a pure Xilinx issue i.e. some sort of library conflict as verilog module is not binding to top VHDL module as can be seen from warning HDLCompiler89. If this is the case then how to resolve that library conflict? Some hint regarding this expected issue has been given in point 7 of tutorial “How do I Integrate Verilog HDL with LabView FPGA module”. http://digital.ni.com/public.nsf/allkb/7269557B205B1E1A86257640000910D3. But nothing has been said much about resolving that issue.  
    3. Because of this unidentified black box, the whole design could not be mapped and hence could not be compiled.
    P.S.
    I have attached labview project zip folder containing simple_translate.v, simple_and_verilog.vi file,SimpleAnd_Wrapper.xml,  Xilinx log file after compilation alongwith other files. Kindly analyze and help me out in resolving this basic issue.
    Please note that I have made all settings regarding:
    Unchecked add I/O buffers option in XST of Xilinx ISE 12.4 project
    Have set “Pack I/O Registers into IOBs” to NO in XST properties of project.
    Synchronization registers are also set to zero by default of all CLIP I/O terminals.
    Please I need speedy help.Thanking in you in anticipation.
    Attachments:
    XilinxLog.txt ‏256 KB
    labview project files.zip ‏51 KB

  • Converting HP-BASIC code into Labview or Labwindows

    I need some insight on as to whether I can use all my HP-Basic code in labview or labwindows and how? Can I create dlls and call them into labview or use the code itselg in LabWindows?
    Any information will be appreciated.

    A very long time ago, there was a BASIC version of LabWindows.  You could not convert a Rocky Mountain BASIC program directly, but it was a fairly simple fit.  You could then translate that into C code automatically through LabWindows.  That was one of its selling points; you didn't have to lose all of that old BASIC code.  About 10 years ago, they decided to go with only a C version of LabWindows and renamed it LabWindows/CVI.  This change was made because most people who were converting from BASIC to C already had and supporting two languages and a translator was very expensive (cost, memory, processing power, complexity, - expensive in every way). 
    If someone has an old version, you might have an upgrade path with your old code (no, I don't have any that old).  Otherwise, you could build DLLs and call them with either LabVIEW or LabWindows/CVI.  You could also recreate the code with the newer language.
    Hope that this helps,
    Bob Young
    Bob Young - Test Engineer - Lapsed Certified LabVIEW Developer
    DISTek Integration, Inc. - NI Alliance Member
    mailto:[email protected]

  • Integration of C++ program into Labview

    I have written a C++ program that generates low level code to control a machine. I would like to integrate this program into Labview. I would like to know what would be the best approach to do:
    - use CIN (code interface node). Can I take a few parameters as inputs and pass a string of characters to labview? Originally the C++ program generates a text file with the comands to control the device, I guess this can be substituted by a string control/indicator that will control the GPIB device.
    - use ActiveX
    - DLLs??
    Thanks in advance for your help.

    Madrid,
    I would recommend that you stir away from CINs. If you can compile your C++ code into a DLL, then you can easily call it from LabVIEW. CINs have the disadvantage that if you change your code in anyway, you have to update the CIN node in the diagram of the VI. However if you recompile your DLL, you don't have to inform LabVIEW that you have a newer version of your DLL. If the newer DLL is in the same path that LabVIEW is searching, then it'll link to it automatically.
    ActiveX is also a good choice. It might take more development time than creating a DLL though.
    My two cents,
    Azucena

  • Translate C code into LabVIEW

    Hi, I have a function in C/C++, and I am wondering how I can have it translated into LabVIEW.
    Thanks,
    Andrea

    There are three ways to use the code in LV.
    First create a DLL or .Net assembly and call it from LV.
    Second use a Formula Node. You can enter your C code directly there.
    Third rewrite the code in LV.
    Which is approiate will depend on your Code.
    Rewriting in LV will cost most work and you need to have a good experience in programming in C and LV.
    Most language constructs of C are available in LV but not all, e. g. there is no while loop. The While loop in LV is a do { ...} while loop. It will execute at least once. For a while loop you must use a Case with a containing While loop. since your termination expression must be used twice create a subVI for it.
    Another thing which will go not easy to handle is the switch statement. Basicly the Case structure is the same but you must take care of the following code in C:
    case <value1>
    case <value2>
    break;
    case <value3>
    break;
    You must handle this by additional nested Case structures.
    Most runtime functins are available or can be build using two or more LV function, e. g. fprintf can be created by Format Into String followed by a File Write.
    If your code contains functions pointer thats no problem. VI references are function pointers. Open VI Reference creates a function pointer and Call by Reference uses it.
    Since you are mentioning C++ you may need to have a look to the object oriented programming in LV.
    OK, I'm the last one, but Ihe written the most text and some hints.
    Waldemar
    Using 7.1.1, 8.5.1, 8.6.1, 2009 on XP and RT
    Don't forget to give Kudos to good answers and/or questions

  • How to we use CNC G-CODES in LabVIEW?

    I can't find any G-CODES interpretor for labiew.Anyone can help me? Here is my email for the conversation.
    [email protected]
    Thanks.

    Hi eniscelik,
    About integrating existing G-code into LabVIEW, unfortunately, LabVIEW does not currently have any way to do this, as there are no toolkits or modules designed for this type of application, but  NI has  Alliance Partners who specializes in this type of work.Design & Assembly Concepts, Inc. specializes in motion control and does support these types of applications.
    Otherwise you can keep trying to comunicate with Gharb (link below):
    http://forums.ni.com/t5/Motion-Control-and-Motor-Drives/G-code-interpreter-using-LabVIEW-done/td-p/2...  
    If our developers know our customers are asking for it, they will be able to appropriately prioritize their development time, so I would suggest you to go to our NI idea Exchange (find link below) to provide feedback on G-code support.
    http://forums.ni.com/t5/LabVIEW-Idea-Exchange/idb-p/labviewideas
    Regards.

  • I wish to know whether a program in VB can be downloaded into labview to make it into a VI

    I am interested in controlling a stepper motor using labview. I have the program developed in VB and the code is working perfectly. I wish to know whether it is possible to convert the VB file into a VI file with all the control palettes and loops. If yes, please give me the method of doing it.

    I'm not aware of any method for directly "translating" Visual Basic code into LabVIEW. However you can make use of the ActiveX and DLL access capabilities of LabVIEW to control a VB application.
    Also, I would suggest that you post your question in the LabVIEW section of Developer Exchange and not in the Motion section, in that way your question will be seen by LabVIEW users and not only Motion Control users. There will be lots and lots of more users in LabVIEW than in Motion Control that may give suggestions.
    The Development Library section in the Developer Zone will provide you with code and application notes for controlling external applications or code with LabVIEW.
    Good luck!
    Nestor.
    Nestor
    National Instruments

  • Is it possible to directly read a barcode into Labview?

    I  have a barcode reader that reads codes into Notepad as expected, but I'm having no luck reading a code into Labview 8.6. Is it possible to read a code directly into Labview using a bar code reader. The reader I'm using is a Symbol DS6708.   Thank you.
    Solved!
    Go to Solution.

    A bit off topic, and I believe it's been discussed int he Feedback board before.
    But this marking of the wrong post seems to happen very often.  Why do the users  have a tendency to mark their thank you message as the solution rather than the actual helpful message?  Is it a difficult concept?  Something about the wording of solution or the layout of the messages that leads them to it?
    I would go so far as to suggest that users are unable to mark their own messages as the solution.  While it can be perfectly legitimate and there are instances where people solve their own problem, it seems to happen very rarely compared to how often people are wrongly marking their own message.

  • Couldn't complete tutorial 'Integrating EJB 3.0 into Web Dynpro ...'

    Hi All,
    I am trying to complete the “Integrating EJB 3.0 into Web Dynpro Using the EJB Model Importer” tutorial that goes with SAP NetWeaver Composition Environment 7.1 SP3 Developer Studio.
    Does anyone ever completed this tutorial because I have problems completing it. The result is very important for me because I need to use this technology for my essay.
    In this tutorial you create a button that calls the method StudsBean.getStudent(String). In this method the object student is created and it will be returned. In several labels the information of the returned student should be displayed. But that doesn’t happen, when I push the button no error occurs but the labels are still empty. When I try to debug the Enterprise Bean and Web Dynpro application I see that the method StudsBean.getStudent(String) is called and the property’s are set and the student object is returned, but still it isn’t displayed.
    After discussing this with a colleague I tried to search for a solution on the SDN and of course Google. First I thought I would be a bug but I only found 2 people with the same problem. I found it remarkable because it’s one of the key subjects of Java EE 5 and it’s a tutorial displayed in the welcome page of the Developer Studio.
    So do you people think it’s a bug in WebDynpro? Because I am sure that I followed all the steps mentioned (a colleague checked it even).
    Regards,
    Sander

    Hi Sander,
    I guess, it's not a bug in Web Dynpro, maybe it's a bug in the tutorial
    I tried something similar, but not following the tutorial and it works. I wasn't able to call the model from the view controller and I guess that's the problem. Take the code from the init-method of the view controller and put it to the component controller's init -method and the code inside the onActionGetStudent and put it into a method you create in the component controller, too. From onActionGetStudent just call this method in the component controller with some code like this:
    wdThis.wdGet<your component controller's name>.<your method's name>;
    Hope this helps
    Kind regards
    Stefanie

  • I am trying to use generate code in signal express to import into labview.

    I get this error when i try to generate code in signal express to export to labview . I have tries the error suggestions but I am still having problems. any help would be appreciated
    The version of LabVIEW you are generating code into does not contain all the necessary SignalExpress support files. Make sure you launch the version of LabVIEW with SignalExpress support installed before generating code from SignalExpress. If you want to generate code into more than one version of LabVIEW, uninstall SignalExpress and all versions of LabVIEW except the earliest.  Install SignalExpress, then install any other versions of LabVIEW.  Copy the files from the vi.lib\express\SignalExpress directory of the earliest installed version of LabVIEW into the same sub-directory location of any other version of LabVIEW in which you want to include support for code generation.

    Typically, this problem is repaired by following the directions on the error message. Since it was not, can you please give us more information so we can start determining the cause?
    What version or versions of LabVIEW do you have installed? What version or versions of SignalExpress do you have installed? Could you post a file with a listing of the files in <vi.lib>\express\SignalExpress for the version of LabVIEW you are trying to use. You can either post a screenshot (in PNG or GIF format) of the directory dialog or use the command prompt to generate a list to a file (preferred). To generate a list with the command prompt, do the following:
    Launch the command prompt from the start menu — Start»Programs»Accessories»Command Prompt
    Navigate to the drive you have LabVIEW installed on ( usually C: ) — C:
    Change directory to the appropriate LabVIEW directory — cd "\Program Files\National Instruments\LabVIEW 8.2\vi.lib\express\SignalExpress". Change the LabVIEW version to the one you actually are using. Do a directory listing and redirect the output to a file — dir > filename.txt. You can use any full path for the filename.txt. If you specify a simple name, it will end up in the current directory.
    Please post your project. Verify that you are using the version of LabVIEW you think you are by launching it before you start code generation.
    Thanks for your patience.
    Message Edited by DFGray on 08-28-2008 08:23 AM
    Message Edited by DFGray on 08-28-2008 08:24 AM
    This account is no longer active. Contact ShadesOfGray for current posts and information.

  • Integrating PuTTY into Labview

    Hi, the LabView serial port session VIs do not communicate well with my stepper motors (they do not respond to 50% of the stepper motor commands - Excitron stepper motors)   I want to create a VI that integrates the PuTTY serial port session manager into LabView so I can use PuTTY within LabView to control my motors.  Can someone help please?   I have found some mentioning of PIPES to do this but when I try to add it to my block diagram it says its unavailable - that source was from 2006 maybe there is another way now?  I am running LabView 2010 for Windows Vista and I am not allowed to download anything over the internet from here - just FYI.  Thanks Folks  EE
    Solved!
    Go to Solution.

    For some reason LabView doesnt recognize several commands for my stepper motors:
    w (wake up)
    i (display current settings)
    P (display motion profile settings)
    Q (run proiles x-y)
    It obeys the others but I really need w, P and Q to work
    PuTTY runs everything
    I am using the basic serial port control VI (given in the examples) for the LabView control
    I am using VISA
    I was hoping integrating PuTTY would be easy and I could have full control in LabView
    Perhaps I should be doing something different in LabView?  I have only been programming for 3 months - it was new to me until then

  • Integrating tracking codes into iweb.....

    I was so successful in solving a problem I was having here last night that I thought Id throw another question out that has been baffling me, not hard to do I might add, how do you integrate tracking codes at the beginning of each page so that Google analytics for example can track the traffic on your iweb sites??
    This is also a requirement if you are using some affiliate programs so it is really very important to do. Any help anyone out there can give is VERY much appreciated! This is without a doubt the best information forums I have ever read!!....Thanks again in advance for any help on this subject!!...Matt
    http://www.rdeal4u.net

    Google Analytics code (ga.js) required the script to be added into your page source to precisely track the page; Step 4 in the following:
    http://www.google.com/support/analytics/bin/answer.py?answer=66983#0.1.1_step3
    adding GA code in HTML Snippet will cause the code to track widget markup pages, which will give inaccurate results.
    that at this time there is no way to enter tracking code into the pages.
    This is true, because they don't know iweb inner working bits.
    But I can prove them wrong, here is example of HTML code inserted in my page:
    http://hdl.50webs.com/Test/
    the floating nav bar and I have urchin.js loaded from google are coded directly to the page (you need to look at Safari Activity window)
    They suggested I go to www.apple.com/feedback then select the appropriate product (iweb) and seen in a message on the subject directly to the engineers.
    I suggest all that are having a problem with this go ahead and send a message to them as well, you know what they say, the squeaky wheel gets the grease!!
    It's a good idea.

  • How to use matlab code in Labview without having MATLAB software. I tried to convert .m files into .dll files. But i could not do. Please help me out..

    Please help me out...
    Solved!
    Go to Solution.

    bombay wrote:
    Yes. It can be done. But Math script can not evaluate all functions in .m files (There are some exceptions).
    And those can perhaps easily be ported to LabVIEW/MathScript?
    It is not sufficient to disregard running your Matlab code in LabVIEW based on a few exceptions without first thoroughly evaluating the impact they have.
    If you want to stick with Matlab in your implementation, then there are other avenues than hypotethizing about the limited portability issues of using MathScript in your project?
    Br,
    /Roger

  • Integrating matlab into labview

    Is it possible to integrate (import) a matlab file which uses special toolbox ( like hmm toolkit and neural network) into LabVIEW??

    When you say "one motor is connected to one matab file" - do you mean one .M file, or a MAT file ?  In other words, is it a program or data?  
    The easiest way that I"ve come across to transfer data between different environments, is to write to an intermediate file.   Be sure to  write to a raw binary or text (dont use the Matlab 'save' command, that will save in MAT format, which I'm pretty sure is unreadable by LabVIEW).   There is the problem of signalling the LabVIEW program when data is available, you could handle this by having the Matlab program could write to another file to indicate that data is availalbe, and the LabVIEW program could continuously poll this file to see if a value has been written.   Then the LabVIEW program would read in the data file, and reset the signalling file.

Maybe you are looking for

  • CS3 and PowerPC Mac - can't get Photoshop CS3 to install

    I'm using a PowerPC based Mac - OSX v 10.4.11. I tried to install the CS3 suite - some apps installed fine, some did not. Photoshop CS3 did not install. Now when I try to use PS CS2 quite a few features are missing, particularly in the filters menu.

  • JDBC Adapter configuration not initialized

    Hi,     I have tested one scenario for WebService to JDBC. Integration engine shows success message.But i got some error in Adapter engine. It shows "JDBC Adapter configuration not initialized". So, plz anyone give the solution for this problem. Rega

  • Using (+) in a substr query

    Hi , i have the original query as SELECT * FROM View1 A , View2 B WHERE A.ITEM_ID = B.ITEM_ID(+) values for ITEM_ID is ABC.01 ABC.02 ... 99 values for ITEM_NAME is ABC however, when i changed it to SELECT * FROM View1 A , View2 B WHERE SUBSTR(A.ITEM_

  • How to install DVD Studio Pro 2 on new machine?

    How do in install DVD Studio Pro 2 onto new machine when PowerPC applications are no longer supported in OSX 10.7.4?  I have the original disc which i bought a few years ago but can not install it!  Help please.

  • Select giving problem

    Hi, I have a select statement which I am using in my java application, currently we are using ms-access database this select works fine with access, but noew we testing the application with Oracle. I am connecting to Oracle using ODBC driver, but the