VGA Controller Error upon Undock

Had to replace the hard drive on my X200.  Everything reinstalled well.  Downloaded all of the drivers on the Lenovo web site for this series.  However when I try to undock the notebook, I receive an error stating the VGA Display Controller that is installed does not support Plug N Play devices and cannot be stopped.   This disables the display on the notebook itself when I try to use it outside of the docking station.   Is there a different driver I need to use besides the one on the support site? 
Thanks!

Hi
I dont know which OS is preinstalled on your unit but please download the latest display driver and install it.
Toshiba download page is http://eu.computers.toshiba-europe.com/cgi-bin/ToshibaCSG/download_drivers_bios.jsp

Similar Messages

  • End routine error upon trying to transport

    Hello folks,
    Getting a RC 8 upon trying to transport an end routine piece to our QA environment.
    No syntax error upon trying to check the code in the end routine. The custom infoobject that i m trying to populate in this code is set to 'Initial' in the transformational rules. Plz can you share any clues there. This transformation is attached to an Infocube.
    Here is the code:
    data: v_fiscper(3) type n.
      loop at RESULT_PACKAGE assigning <result_fields>.
        clear v_fiscper.
        v_fiscper = <result_fields>-fiscper+4(3).
        case v_fiscper.
          when '001' or '002' or '003'.
           concatenate 'Q1,' <result_fields>-fiscyear
               into <result_fields>-/bic/zfiscqtr.
          when '004' or '005' or '006'.
           concatenate 'Q2,' <result_fields>-fiscyear
               into <result_fields>-/bic/zfiscqtr.
          when '007' or '008' or '009'.
           concatenate 'Q3,' <result_fields>-fiscyear
               into <result_fields>-/bic/zfiscqtr.
          when '010' or '011' or '012'.
           concatenate 'Q4,' <result_fields>-fiscyear
               into <result_fields>-/bic/zfiscqtr.
        endcase.
      endloop.
    Appreciate help on this one.
    Cheers !!

    How is InofObject zfiscqtr implemented?
    Additional:
    Try to use RSA1 transport connection.
    Select your transformation, cube and so on and collect all necessary objects.
    Regards
    Andreas
    Edited by: Andreas Schindler on Nov 26, 2009 10:24 AM

  • I get this error upon launching PS5: "could not open a scratch file because the file is locked .."

    I get this error upon launching PS5: "could not open a scratch file because the file is locked or ou do not have the necessary access privileges. Use the 'properties' command in the Windows Explorer to unlock the file". The applicaiton will not launch.
    Supporting information - I recently changed the scratch disk from C drive to D drive (the back up/restore drive). The application wont launch so I cant change it back to the C drive.
    Questions:
    - How to I fix this .. from windows explorer how do I find "the file" that the error message refers to?
    - Other ways to fix this?
    Thanks Steve

    Hi there! Because the forum you originally posted in is for beginners trying to learn the basics of Photoshop, I moved your question to the Photoshop General Discussion forum, where you'll get more specialized help.
    To help others help you, please read through this article and provide any additional relevant details.

  • Error upon loading a video from the iTunes in the cloud

    I bought a movie called Epic and when I tried to play on my Apple TV via streaming from the iTunes in the cloud it was playing until yesterday it start displaying an Error message "Error upon loading this video content". How long does it take for that to be corrected?

    OK well, I think all that stuff about licensing is not true, unless explicitly stated
    Just working off a gut feeling (or perhaps just wishing it weren't true)?  I suggest actually checking:
    https://www.google.com/search?q=us+rip+dvd+legal
    http://en.wikipedia.org/wiki/Digital_Millennium_Copyright_Act
    Typically any mention of ripping DVDs may get a topic removed from the ASC forums since it is discussion of an "illegal activity" and subject to Terms of Use.
    The key thing here is if you upload a file to your library, then try to replace that same file with the same file name, iTunes will ignore it and NOT overwrite the file that's already there. You have to delete the file off first and THEN add to library again.
    Correct, iTunes works on a file basis not a track label basis.  That's why I said delete the old file.  You could have 20 identical files with the same name (in different folders) and iTunes will add them all right next to each other.  Nothing new in iTunes behavior there.  In fact if you had gone to the location where the media file was stored and copied a new one over the old one with the same name I believe iTunes would still know it wasn't the original.  You can, however, edit a file using a third party tool and save it in place and iTunes will accept the changes without having to re-add the file.

  • Error upon deploying a dataservices application

    I'm getting a strange error upon deploying a data service project.
    The data service project is very simple, I import a single webservice from a port via a URI reference. This import happens fine.
    I then choose to build a dataservice from this webservice. I build and compile the project.
    When I choose test view, I paste a preformatted xml request which works fine in SoapUI. However, when I try to execute, I get an error (after the bea workshop builds and deploys the application)
    I get a java.lang.noClassDefFoundError: com/bea/ld/sql/api/SQLQueryRequest
    I'm running ALDSP 2.5 on Weblogic 8.1.5
    Please see the full attached error message, which is a screenshot from my BEA Workshop for Weblogic Platform IDE.

    Thank you mreiche. My workshop IDE set the Aqualogic Data Service Platform Application home to be the workshop home, not the liquiddata home!!
    Now I get the following error:
    weblogic.xml.query.exceptions.XQueryDynamicException: {err}XQ0027 [{bea-err}XQ0027b]: Element "{http://schemas.xmlsoap.org/soap/envelope/}Envelope" not found for validation
         at weblogic.xml.query.schema.xmlbeans.XMLValidatorForXMLBeans.setValidationType(XMLValidatorForXMLBeans.java:233)
         at weblogic.xml.query.schema.xmlbeans.XMLValidatorForXMLBeans.processTokensOnHold(XMLValidatorForXMLBeans.java:1345)
         at weblogic.xml.query.schema.xmlbeans.XMLValidatorForXMLBeans.gotBeginElementToken(XMLValidatorForXMLBeans.java:772)
         at weblogic.xml.query.schema.xmlbeans.XMLValidatorForXMLBeans.giveToken(XMLValidatorForXMLBeans.java:100)
         at weblogic.xml.query.schema.ValidatingIterator.fetchNext(ValidatingIterator.java:148)
         at weblogic.xml.query.iterators.GenericIterator.next(GenericIterator.java:113)
         at weblogic.xml.query.runtime.core.RTVariable.fetchNext(RTVariable.java:49)
         at weblogic.xml.query.iterators.GenericIterator.peekNext(GenericIterator.java:151)
         at com.bea.ld.wrappers.ws.JAXRPCWebserviceIterator.exec(JAXRPCWebserviceIterator.java:165)
         at com.bea.ld.wrappers.ws.JAXRPCWebserviceIterator.fetchNext(JAXRPCWebserviceIterator.java:103)
         at weblogic.xml.query.iterators.GenericIterator.hasNext(GenericIterator.java:134)
         at weblogic.xml.query.runtime.sequences.Subsequence.fetchNext(Subsequence.java:56)
         at weblogic.xml.query.iterators.GenericIterator.next(GenericIterator.java:113)
         at weblogic.xml.query.runtime.querycide.QueryAssassin.fetchNext(QueryAssassin.java:54)
         at weblogic.xml.query.iterators.GenericIterator.peekNext(GenericIterator.java:151)
         at weblogic.xml.query.runtime.qname.InsertNamespaces.fetchNext(InsertNamespaces.java:161)
         at weblogic.xml.query.iterators.GenericIterator.next(GenericIterator.java:113)
         at weblogic.xml.query.runtime.core.QueryIterator.fetchNext(QueryIterator.java:127)
         at weblogic.xml.query.iterators.GenericIterator.hasNext(GenericIterator.java:134)
         at weblogic.xml.query.xdbc.util.Serializer.serializeItems(Serializer.java:142)
         at com.bea.ld.server.QueryInvocation.getResult(QueryInvocation.java:461)
         at com.bea.ld.EJBRequestHandler.executeFunction(EJBRequestHandler.java:346)
         at com.bea.ld.ServerBean.executeFunction(ServerBean.java:108)
         at com.bea.ld.Server_ydm4ie_EOImpl.executeFunction(Server_ydm4ie_EOImpl.java:208)
         at com.bea.ld.Server_ydm4ie_EOImpl_WLSkel.invoke(Unknown Source)
         at weblogic.rmi.internal.BasicServerRef.invoke(BasicServerRef.java:492)
    This is what my request looks like:
    <soapenv:Envelope xmlns:soapenv="http://schemas.xmlsoap.org/soap/envelope/" xmlns:urn="urn:purisma-pcr:CEXP_0305_local">
    <soapenv:Header/>
    <soapenv:Body>
    <urn:FuzzySearchLocationRequest searchConfigurationName="Location_CompanyReq" maxNumberOfResults="0" scoreThreshold="0" startIndex="0" pageSize="0">
    <!--1 or more repetitions:-->
    <fuzzyCriterion fieldName="Customer_Name">columbus</fuzzyCriterion>
    <!--Zero or more repetitions:-->
    <fieldName>all</fieldName>
    </urn:FuzzySearchLocationRequest>
    </soapenv:Body>
    </soapenv:Envelope>
    All I really want to do is be able to import my startup's generated .wsdl into an ALDSP data service, and then call that data service. This is turning out a lot more difficult than I thought.

  • Error: distiller error upon start-up

    I am running the CC suite on a PC-Windows 7, 64bit and get error upon error which are slowing down and crashing my system: Here is the error I get upon start up of my computer:
    Any guidance would be much appreciated.

    Try removing the SC Info folder as per the instructions in the Remove the SC Info folder section of the following troubleshooting document:
    iTunes repeatedly prompts to authorize computer to play iTunes Store purchases

  • In Win 7 64bit I get the following error upon every reboot.  "The procedure entry point xmlTextReaderConstName could not be located in the dynamic link library "    This happened yesterday, 8/23/2011 after downloading Apple iTunes 10.4.

    This happened yesterday, 8/24/2011 after downloading Apple iTunes 10.4. In Win 7 64bit I get the following error upon every reboot.  "The procedure entry point xmlTextReaderConstName could not be located in the dynamic link library libxml2.dll"    Please how do I fix this, Thanks.

    In the case that your OS is Windows 7 (64 bit)
    1. Open windows explorer, go to location C:\Program Files (x86)\Common Files\Apple\Apple Application Support
    2. Copy file "libxml2.dll"
    3. Open new windows explorer, to to location C:\Program Files (x86)\Common Files\Apple\Mobile Device Support
    4. Paste file "libxml2.dll" to the location.
    5. Reboot your computer, it should not display that message, it should be clear.
    Good Luck

  • W7 Ultimate 32 bit English - error upon iso download that ENGLISH is not supported

    I have a legitimate Windows 7 Ultimate 32 bit English DVD with product key sticker inside.
    My computer however has no DVD player, so I figured I would download the ISO and create a bootable USB key for install.
    Where can I download Windows 7 ISO? I have a Product Key.
    http://answers.microsoft.com/en-us/windows/forum/windows_7-windows_install/where-can-i-download-windows-7-iso-i-have-a/7d964b05-2be9-4800-bc7f-3ca30356fc3d?auth=1
    Welcome to Microsoft Software Recovery
    http://www.microsoft.com/en-us/software-recovery
    When I select to verify my key I get this ridiculous error that ENGLISH is not supported....
    Unsupported language error: The language you've selected is not available for the product you are eligible for. Please select a different language.
    Is there a different site from which I can download a clean Microsoft copy of the ISO?
    Alternatively I guess I could take the DVD and make an ISO from it on a different computer and create the usb bootable drive.
    Suggestions are appreciated.
    Since there are two DVDs (one 32 and one 64 bit) I tried to download the 64 bit one. Same error upon validation of key. Sigh.

    Andy
    The frist link was from 2012 and no longer applies.  The second should work as long as it is not an OEM key.  To try and figure this out please follow these instructions
    Post your issue to the Windows 7 Genuine Issues forum.  Here are the instructions on how to produce a diagnostic:
    MGA
    Diagnostic Report:
    To properly analyze and solve problems with Activation and Validation, we need to see a full copy of the diagnostic report produced by the MGADiag tool (download and save to desktop -http://go.microsoft.com/fwlink/?linkid=52012 )
    Once downloaded, run the tool.
    Click on the Continue button, after a short time, the Continue button will change to a Copy button.
    Click on the Copy button in the tool (ignore any error messages at this point), and then paste (using either r-click/Paste, or Ctrl+V ) into your post.
    One
    Issue Per Thread:
    Most Activation and Validation issues look the same, on the surface, but can have many different causes and fixes. This is why we ask for a diagnostic report and this is also why we ask that you create your own thread for your issue (in other words,
    don't post your issue in another person's thread). 
    It just becomes too complicated to try to troubleshoot multiple different issues in the same thread.
    Instructions
    by  Kamin of Ressik 
    Wanikiya and Dyami--Team Zigzag

  • QT Pro Crashes with "Export Controller" error when converting M2V to H.264

    I am currently experiencing a problem with QT Pro for Win. Any time I try to convert a M2V file to an H.264 encoded .mp4 file, QT pro gets approx 10-16% complete with the conversion and then crashes. (This happens when trying to encode an 2hr SD M2V file taken from a DVD or a 1/2hr M2V HD file recorded via EyeTV, so the resolution/size isn’t an issue.) The error message is always that there was a “QT Export Controller error”. I do not get this kind of error when using the same files with QT Pro on my MacBook only on my windows box. I am running the most current version of QT. Does anyone have any ideas on this?

    Hi,
    I too am having crashing issues.... and I too got no help from Apple. Just go to website they said... great!!?? Now I know why I never use these guys products!!!
    However, rant over, I do have a need to use Quicktime.
    I am trying to use Quicktime to convert quicktime movies to the new H.264 codec, a function available with Quicktime Pro.
    However when I go to export window and then to the video setings window, quicktime just suddenly closes(not crash). I can go into the audio section though and change options in there. I have uninstalled and re-installed with no luck.
    This is extremely frustrating
    any help greatly appreciated
    sharky

  • HD Controller Error in System Properties

    Hello,
    Under system properties--hard disk controllers I have 3 entries:  Primary IDE Controller (single fifo), Secondary IDE controller (single fifo), and Duel PCI IDE Controller.
    The primary and secondary IDE controller entries have exclamation marks next to them noting that they are not working corectly.  
    My 2 hard disk drives do not show under the disk drive entry due to the controller "errors".
    What IDE drivers should I use to fix this problem.  Since I cannot access the hard drives I cannot turn on DMA support.
    Thanks for the help!

    Quote
    Originally posted by biodude
    What IDE drivers should I use?  The drivers that the puter wants to use (MS) aren't good.  I can't see my hard drives, or CD ROM in Win ME system properties. This means that the drives are using MSDOS compatibility mode.  
    Does NVIDIA have any NF2 IDE drivers?
    Thanks
    Can your machine read CDs?  There may be drivers for your IDE controllers under Windows ME on the CD that came with your motherboard.
    Caveat: I am speaking from experience with EPoX and ASUS motherboards; I am fairly new to MSIs, but I would expect they would have the same sort of drivers available.
    --Barry

  • Query on 800x600 @72Hz VGA controller

    Hi I want to design a 800x600 @72Hz VGA controller. below is a counter module which generates hsynch and vsynch signals. The horizontal counter works fine but the vertical counter remains at 0 in simulation. Also when I go for assigning values to signal hsync and vsync, both simulation waveforms gave z. I don't know where I am wrong. Please help.
    module counter( input wire clk,reset,
    output wire hsync , vsync
    // defining constants
    localparam HD = 800; // horizontal display area
    localparam HF = 56; // front porch (right border)
    localparam HB = 64; //right porch (left border)
    localparam HR = 120; // horizontal retrace
    localparam VD = 600; // vertical display area
    localparam VF = 37; // front porch (bottom border)
    localparam VB = 23; // back porch (top border)
    localparam VR = 6; // vertical retrace
    //horizontal and vertical counter
    reg [9:0] h_count_reg ,h_count_next;
    reg [9:0] v_count_reg , v_count_next;
    reg v_sync_reg , h_sync_reg ;
    wire v_sync_next , h_sync_next ;
    wire h_end , v_end;
    always @ ( posedge clk , posedge reset)
    if (reset)
    begin
    v_count_reg <= 0;
    h_count_reg <= 0 ;
    v_sync_reg <= 'b0;
    h_sync_reg <= 'b0;
    end
    else
    begin
    v_count_reg <= v_count_next;
    h_count_reg <= h_count_next;
    v_sync_reg <= v_sync_next ;
    h_sync_reg <= h_sync_next ;
    end
    assign h_end = (h_count_reg==(HD+HF+HB+HR-1)) ;
    assign v_end = (v_count_reg==(VD+VF+VB+VR-1)) ;
    // below is the counter used to generate hsynch
    always @(*)
    if (clk)  //50 Mhz pixel clock
        if(h_end)
          h_count_next = 0 ;
       else
          h_count_next = h_count_reg + 1;
    else
    h_count_next = h_count_reg;        
    //counter used to generate vsynch
    always @(*)
    if(clk & h_count_reg == h_end)
      if(v_count_reg == v_end)
          v_count_next = 0;
           else
          v_count_next = v_count_reg+1;
     else
         v_count_next = v_count_reg;
    assign h_sync_next = (h_count_reg >= (HD+HF) && h_count_reg <= (HD+HF+HR-1));
    assign v_sync_next = (v_count_reg >= (VD+VF) && v_count_reg <= (VD+VF+VR-1));
    assign hsync = h_sync_reg;
    assign vsync = v_sync_reg;    
    endmodule
    The test bench is herewith attached:

    Hi, this is my final code. Now signals hsync and vsync are throughout  '1' but my output's red, green and blue are not displayed. Please help. I have attached the testbench too.
    Code :
    module vga_controller(input wire clk,reset,
    output wire hsync,vsync,
    output [2:0] red,
    output [2:0] green,
    output [1:0] blue,
    output reg video_on);
    // defining constants
    localparam HD = 800; // horizontal display area
    localparam HF = 56; // front porch (right border)
    localparam HB = 64; //right porch (left border)
    localparam HR = 120; // horizontal retrace
    localparam VD = 600; // vertical display area
    localparam VF = 37; // front porch (bottom border)
    localparam VB = 23; // back porch (top border)
    localparam VR = 6; // vertical retrace
    localparam h_end = 1040;
    localparam v_end = 666;
    //horizontal and vertical counter
    reg [10:0] h_count_reg,v_count_reg ;
    reg[10:0] h_count_next , v_count_next;
    //reg v_sync_reg , h_sync_reg ;
    //wire v_sync_next , h_sync_next ;
    reg v_sync_next , h_sync_next = 0 ;
    always @ ( posedge clk , posedge reset)
    if (reset)
    begin
    v_count_reg <= 0;
    h_count_reg <= 0 ;
    //v_sync_reg <= 1'b0;
    //h_sync_reg <= 1'b0;
    end
    else
    begin
    v_count_reg <= v_count_next;
    h_count_reg <= h_count_next;
    //v_sync_reg <= v_sync_next ;
    //h_sync_reg <= h_sync_next ;
    end
    // horizontal and vertical counters
    always @(posedge clk)
    begin
    if(h_count_reg < h_end-1)
    begin
    h_count_next <= h_count_reg + 1;
    end
    else
    begin
    h_count_next <= 0;
    if(v_count_reg < v_end-1)
    v_count_next <= v_count_reg + 1;
    else
    v_count_next <= 0;
    end
    end
    // horizontal and vertical synchronization signals
    always @(posedge clk)
    if(h_count_reg < HR)
    h_sync_next <= 1;
    else
    h_sync_next <= 0;
    //VSync logic        
    always @(posedge clk)
    if(v_count_reg < VR)
    v_sync_next <= 1;
    else
    v_sync_next <= 0;
    assign hsync = h_sync_next;
    assign vsync = v_sync_next;
    reg h_video_on,v_video_on;
    //horizontal logic
    always @(posedge clk)
    if((h_count_reg >= HR + HF) && (h_count_reg< HR + HF + HD))
    h_video_on <= 1;
    else
    h_video_on <= 0;
    //Vertical logic
    always @(posedge clk)
    if((v_count_reg >= VR + VF) && (v_count_reg < VR + VF+ VD))
    v_video_on <= 1;
    else
    v_video_on <= 0;
    always @(posedge clk)
    if(h_video_on && v_video_on)
    video_on <= 1;
    else
    video_on <= 0;
    reg [9:0] pixel_x,pixel_y;
    always @(posedge clk)
    if(h_video_on)
    pixel_x <= h_count_reg - HR - HF;
    else
    pixel_x <= 0;
    always @(posedge clk)
    if(v_video_on)
    pixel_y <= v_count_reg - VR - VF;
    else
    pixel_y <= 0;
    //color output
    reg [7:0] coloroutput;
    always @(posedge clk)
    if(~video_on)
    coloroutput <= 0;
    else
    begin
    if(pixel_y<160)
    coloroutput[7:5] <= 3'b111;
    else if(pixel_y<320)
    coloroutput[4:2] <= 3'b111;
    else
    coloroutput[1:0] <= 2'b11;
    end
    assign red = (video_on)?coloroutput[7:5] : 3'b000;
    assign green = (video_on)?coloroutput[4:2] : 3'b000;
    assign blue = (video_on)?coloroutput[1:0] : 3'b000;
    endmodule

  • I get MP AV controller error domain error 3 when I try to play a movie I rented. Using OS 8.1.3

    I Updated my OS a few days ago and downloaded 3 movies. When I go to play the, I get the error message
    MP AV controller error domain error 3
    wwhat is this and how do I access the movies I have paid for?
    thanks!

    this worked for me: after downloading a movie from itunes i get the message MPAV Controller Error Domaine error 3 what can i do ?
    Basically
    log out of itunes from settings
    power off the ipad
    power on the ipad
    log back into iTunes
    then  the video was available

  • Framework error upon completion

    hi experts,
       i am facing this framework error during the DSO activation step in a process chain.
    The error message says that process activate datastore object data,variant Actiavte DSO ZXXXXX has status FrameWork error upon completio(e.g. follow on job)
    and when i checked that step's logs, it says loading is successful into the DSO. i then checked that status of the process chain through function module GET_STATUS there also it shows Framework error and Msg type as " J " which is for that error only
    i have tried searching on SDN / SAP-help or OSS note regarding this but nothing was found meaningful.
    please help me in eliminating this error, as i am experiencing this error for a couple of days now.
    regards
    laksh

    hi gurus,
      can anybody please help me out in this
    regards
    laksh

  • Error upon closing or upload to Printer Cs6

    Hi All,
    I am getting an error upon closing my created business card.:
    "The font AlienEncounters could not be embedded in the PDF document because of licensing restrictions. Stroked text will not be visible."
    For one there is no Stroke to the text. Another is the fact even when saving out as a PDF high quality print PDF same thing.
    I am over at an online print shop and am getting the same error upon upload. IT will not accept the file....?
    Alien Encounters is the stock font that comes with Cs6 why am I getting this? I am not sure about saving as a PNG if I lose any rez?
    Thanks for direction on this
    SF

    Jacob,
    Thanks for stopping in... I am unclear on step 2
    Also when trying to select all my text boxes it is not doing to well. It could be my way of layout??
    I have two AI files within one document, the created logo in AI and then another AI file for a business card. The Alien Encounters Font does not have licensing bits as it states
    when closing the saved file. I assume that is the problem.
    Do you know if I will lose any res if (the artboard this file was created on)  is actual size of 2x3.5 biz card and if I save it out as a PNG with 350ppi that should stay good enough for print? When I send it over to the printers and than they upload it to a 2x3.5 card stock to print it on... I know keeping it vector art thru and thru is best but it looks like I may need this work around
    Thanks again
    SF

  • Error upon submitting form (SWF/Flex)

    I often get this error upon submitting a SWF(Flex) LC form.  The workflow proceeds just fine, but it acts like Workspace is having an issue cleaning up.  Does anyone have any experience with what this is?
    TypeError: Error #1009: Cannot access a property or method of a null object reference.
    at MethodInfo-12904()
    at lc.core::DefaultResponder/fault()
    at lc.core::Token/callFaultHandlers()
    at lc.core::Manager/handleFault()
    at lc.core::Manager/handleFaultEvent()
    at MethodInfo-2828()
    at lc.core::DefaultResponder/fault()
    at mx.rpc::AsyncToken/http://www.adobe.com/2006/flex/mx/internal::applyFault()
    at mx.rpc.events::FaultEvent/http://www.adobe.com/2006/flex/mx/internal::callTokenResponders()
    at mx.rpc::AbstractOperation/http://www.adobe.com/2006/flex/mx/internal::dispatchRpcEvent()
    at mx.rpc::AbstractInvoker/http://www.adobe.com/2006/flex/mx/internal::faultHandler()
    at mx.rpc::Responder/fault()
    at mx.rpc::AsyncRequest/fault()
    at NetConnectionMessageResponder/statusHandler()
    at mx.messaging::MessageResponder/status()
    This is my method that submits the form.
    private function OnAllDocumentsUploaded(event:Event):void {
      status_box.Flash("The DCF is being submitted to the server for processing!");
      // remove any Rejections
      delete form_data.Role.Rejected;
      lcConnector.setSubmitData(form_data);

    No, I don't.  It's intermittent.  I thought it perhaps happened when I threw up a <mx:Box> just before data submission to let them know the data was being submitted, but that's not it.
    My fear is there is something I am leaving hanging, but there isn’t enough documentation on writing Flex forms for LC to really know what that might be.
    I can't imagine what would be trying to talk to the task after the form has been closed.

Maybe you are looking for

  • Playing a single album on repeat in iTunes 9

    Have been encountering one major issue with iTunes 9 so far, regarding playback of a single album from an artist, of which you own many albums. With the new Browse window on the left, showing Artists is nice, the merged album + track listing on the r

  • 11gR2 mappings to SQLServer via gateway

    Hi all, we are evaluating the dataloading from SQLServer 2005 either via code template or via gateway (both cost a fortune in licences). The code template runs well but I don't know how to pass a dynamic filter criterion (e.g. a date) derived from th

  • I've got nothing(gray screen, question mark folder) Please Help!

    This problem is on my girlfriend’s computer, about the same as mine, PB G4 800mhz 768mb RAM, 10.4.x I am a competent user and this one has me worried… Problem Last night she goes to the computer sends an IM checks her e mail, watch some tv, goes back

  • App keeps loading problem solve

    Anyone with an app still loading problem can get rid of it by going into the app store. tap on "updates"- bottem right corner. tap on purchased where you get a list of your downloads then tap on the cloud with the arrow, enter your pin. hit ok for ap

  • 'Send to' round trip

    I've worked on scores of documentaries and I cannot remember a single time that once the colour grade is 'complete' it is not tinkered with at a later stage. My question is, what is the best way to go back into Color after the project has been sent b