Import Live Video into LabVIEW

I am an electrical engineering working on my senior project at Western New England College. I am working with a small team building a quadrotor UAV and part of my task is to add some image processing to the craft. I have the algorithm to do the processing but I am not sure how to import the images into LabVIEW. We are using a FlyCamOne3 camera on the UAV and transmitting the images back through a 5.8 GHz transmitter and want to take the video from the receiver into LabVIEW. We do not have a large budget so I was hoping I could use a standard capture card to read the video in and pass it to LabVIEW. I read that the device needs to be DirectShow compliant but I do not know what that means exactly. The reading I did indicated that DirectShow is a piece of software from Microsoft and not a part of the hardware. Our team plans to run all of the code on a laptop so the capture card would have to be USB, firewire, or ethernet. I would really appreciate any help finding an appropriate capture card for this application or some other solution to the problem.
Thanks for your help
Tyler

I have spent a good part of the day searching for firewire, ethernet, and USB 2.0 capture cards without having much success. It appears that the options on that front are fairly limited and I was having a hard time confirming that the options I found would be DirectShow compliant. I finally found an older thread on this forum which suggested to use a video to firewire converter however. I managed to find Video-to-USB converter which converts from an RCA video to USB and the documentation claims it has a driver for LabView. Is there any way to tell if this would be compatible with newer version of LabView? I am concerned that the hardware is about 5 years old and if things have changed substantially in the way LabView aquires the image then it may not be compatible anymore.
Thanks,
Tyler

Similar Messages

  • I have been trying to import VHS video into iMovie via a Hollywood Dazzle bridge.  Did not have trouble until I upgraded to mavericks.  I have a mac book pro, 10.9.1, iMovie 10.0.2.  Says it is recording, but when I go to check, it says the file is empty.

    I have been trying import VHS video into iMovie via a Hollywood Dazzle bridge.  I have been successful doing this in the past, but now it is not working.  I have a mac book pro, 10.9.1, (mavericks), iMovie 10.0.2.  Everything in iMovie looks like it is working.  It reads "recording" in the upper left hand corner of the pane while the video is playing.  When I stop the process to check on my clip it says that the file is empty.  The only thing that has changed since I did it successfully is that I upgraded to mavericks from whatever I had previously.  My system is about one year old.  Any suggestions?

    Hey, Clinton,
         Thanks for your help!  I was able to go to iMovie 9.0.9 and import video.  I was still curious as to why it didn't work in version 10.0.2, so I contacted Apple tech support.  They were't sure at first as to why it wouldn't work, so they had me delete iMovie 10.0.2 and then re-install it just to see if something had gone wrong when it upgraded.  That didn't change anything.  In the end, they determined that 10.0.2 doesn't support the Hollywood Dazzle DV bridge.  But I have a workaround path from them that I will share so that it's possible to import to 9.0.9, then move it to 10.0.2. 
         1.  Open iMovie 9 (finder/applications/iMovie 9.0.9
         2.  Import from Dazzle Bridge to iMovie 9, note which event you are importing the clips into
         3.  Close iMovie 9
         4.  Open iMovie 10 (probably in the dock)
         5.  File/Import Media...navigate to home(this was my name, on the left side of iMovie, under Favorites)/Movies/iMovie events.  Find the event folder that contains your Dazzle clips (tip-try sorting by date modified to look at recent events.) 
         6.  Select the clips and import.  Click the blue "Import Selected" button on the bottom right.
    You may get a message that some files cannot be imported.  Click continue import.  It's a little glitchy.  It imports your clip, and also makes a copy of it, too.  On the copy, the audio is normal, but the video is choppy.  In any case, you would delete the copy, anyway.
    Hope this additional information helps somebody else.  Thanks again, Clinton for helping me!

  • Is there a way to import ONLY videos into PE8, not photos?

    I have a million photos I never intend to use in a Premiere Elements 8 production. Using the PE8 "Organizer," is there a way to import ONLY videos into PE8, not photos?

    I do not use Organizer, so may be missing something, but I would guess that one could Sort By, and choose File Type. Remember, Organizer is for the system, and does not reflect what is Imported for use in a Project - two different things. In lieu of Organizer, I use Adobe Bridge, installed with some of my other Adobe programs.
    I would also assume that Organizer does things via folders. If one does not have any aspect set to auto, I would think that it would ONLY do thumbnails for certain folders, as directed. If one has their stills in other folders, then only AV Assets would be seen by Organizer.
    Maybe some Organizer guru will have better directions for you. I am just thinking here and speculating.
    Good luck,
    Hunt

  • How do I import GoPro video into Final Cut Pro X?

    I'm about to purchase Final Cut Pro X (10.1.4). Will I be able to import my GoPro files? Do I have to convert them to another file type? Has anybody imported GoPro video into their projects? I believe that GoPro Studio can convert the video files into GoPro Cineform files that you're supposed to be able to import into 3rd Party Applications such as Final Cut Pro X.
    If you have any advice, I would very much appreciate it.
    Thanks,
    Kiki

    I just copy the Gopro MP4 files on to a hard drive then import the media into a FCPX library. I have had no issues with dealing with GOPRO videos, but I am running a Hero 2 and a hero 3+.  I am no expert on it, I just do it.

  • Can't import live video from Sony PD 170.

    Just got Final Cut Pro X. In a New Event I am able to import live video from the new MacBook Pro computer's built-in camera and pre-recorded video from my Sony PD-170 camera. However, when trying to import live video from the PD-170, the program only APPEARS to do so--the clips never show up once I close the import window. Any suggestions?

    I think iMovie is corrupted. I would like to try to uninstall iLife 11 but when I put in the disc there is no uninstall option. I tried trashing iMovie from applications and reinstalled all of iLife (I believe wasted space on my HD) but the issue remains. (Maybe because I didn't delete the receipts?) iMovie can not see any devices or use iSight for capture...

  • How to import Verilog codes into LabVIEW FPGA?

    I tried to import Verilog code by instantiation followed by the instruction in http://digital.ni.com/public.nsf/allkb/7269557B205B1E1A86257640000910D3, 
    but still I can see some errors while compiling the VI file.
    Simple test Verilog file is as follows:
    ==============================
    module andtwobits (xx, yy, zz);
    input xx, yy;
    output reg zz;
    always @(xx,yy) begin
    zz <= xx & yy;
    end
    endmodule
    ==============================
    and after following up the above link, we created the instantiation file as
    ==============================================
    library ieee;
    use ieee.std_logic_1164.all;
    entity mainVHDL is
    port(
    xxin: in std_logic;
    yyin: in std_logic;
    zzout: out std_logic
    end mainVHDL;
    architecture mainVHDL1 of mainVHDL is
    COMPONENT andtwobits PORT (
    zz : out std_logic;
    xx : in std_logic;
    yy : in std_logic);
    END COMPONENT;
    begin
    alu : andtwobits port map(
    zz => zzout,
    xx => xxin,
    yy => yyin);
    end mainVHDL1;
    ==============================================
    Sometimes, we observe the following error when we put the indicator on the output port,
    ERROR:ConstraintSystem:58 - Constraint <INST "*ChinchLvFpgaIrq*bIpIrq_ms*" TNM =
    TNM_ChinchIrq_IpIrq_ms;> [Puma20Top.ucf(890)]: INST
    "*ChinchLvFpgaIrq*bIpIrq_ms*" does not match any design objects.
    ERROR:ConstraintSystem:58 - Constraint <INST "*ChinchLvFpgaIrq*bIpIrq*" TNM =
    TNM_ChinchIrq_IpIrq;> [Puma20Top.ucf(891)]: INST "*ChinchLvFpgaIrq*bIpIrq*"
    does not match any design objects.
    and interestingly, if we remove the indicator from the output port, it sucessfully compiles on the LabVIEW FPGA.
    Could you take a look at and please help me to import Verilog to LabVIEW FPGA?
    I've followed the basic steps of instantiation on the above link, but still it won't work.
    Please find the attachment for the all files.
    - andtwobits.v : original Verilog file
    - andtwobits.ngc: NGC file
    - andtwobits.vhd: VHD file after post-translate simulation model
    - mainVHDL.vhd: instantiation main file
    Since there is no example file for Verilog (there is VHDL file, but not for Verilog), it is a bit hard to do the simple execution on LabVIEW FPGA even for the examples.
    Thank you very much for your support, and I'm looking forward to seeing your any help/reply as soon as possible.
    Bests,
    Solved!
    Go to Solution.
    Attachments:
    attach.zip ‏57 KB

    Hi,
    I am facing problem in creating successfully importing  VHDL wrapper file for a Verilog module,into LabVIEW FPGA using CLIP Node method. Please note that:
    I am working on platform SbRIO-9606.
    Labiew version used is 2011 with Xilinx 12.4 compiler tools
    NI RIO 4.0 is installed
    Xilinx ISE version installed in PC is also 12.4 webpack ( Though I used before Xilinx 10.1 in PC for generating .ngc file for verilog code FOR SbRIO 9642 platform, but problem remains same for both versions)
    Query1. Which versions of Xilinx ISE (to be installed in PC for generating .ngc file) are compatible with Labview 2011.1(with Xilinx 12.4 Compiler tools)? Can any version be used up to 12.4?
    Initially I took a basic and gate verilog example to import into LabVIEW FPGA i.e. simple_and.v and its corresponding VHDL file is SimpleAnd_Wrapper.vhd
    ///////////////// Verilog code of “simple_and.v”//////////////////////
    module simple_and(in1, in2, out1);
       input in1,in2;
       output reg out1;
       always@( in1 or in2)
       begin
          out1 <= in1 & in2;
       end
    endmodule
    /////////////////VHDL Wrapper file code of “SimpleAnd_Wrapper.vhd” //////////////////////
    LIBRARY ieee;
    USE ieee.std_logic_1164.ALL;
    ENTITY SimpleAnd_Wrapper IS
        port (
            in1    : in std_logic;
            in2    : in std_logic;
            out1   : out std_logic
    END SimpleAnd_Wrapper;
    ARCHITECTURE RTL of SimpleAnd_Wrapper IS
    component simple_and
       port(
             in1    : in std_logic;
             in2    : in std_logic;
             out1   : out std_logic
    end component;
    BEGIN
    simple_and_instant: simple_and
       port map(
                in1 => in1,
                in2 => in2,
                out1 => out1
    END RTL;
    Documents/tutorials followed for generating VHDL Wrapper file for Verilog core are:
    NI tutorial “How do I Integrate Verilog HDL with LabView FPGA module”. Link is http://digital.ni.com/public.nsf/allkb/7269557B205B1E1A86257640000910D3
    In this case, I did not get any vhdl file after “post-translate simulation model step” in netlist project using simple_and.ngc file previously generated through XST. Instead I got was simple_and_translate.v.
    Query2. Do I hv to name tht “v” file into “simple_and.vhd”?? Anyways it did not work both ways i.e. naming it as “simple_and with a “v” or “vhd” extension. In end I copied that “simple_and.v” post translate model file, “simple_and.ngc”, and VHDL Wrapper file “SimpleAnd_Wrapper.vhd” in the respective labview project directory.
    Query3. The post-translate model file can  also be generated by implementing verilog simple_and.v  file, so why have to generate it by making a separate netlist project using “simple_and.ngc” file? Is there any difference between these two files simple_and_translate.v generated through separate approaches as I mentioned?
    2. NI tutorial “Using Verilog Modules in a Component-Level IP Design”. Link is https://decibel.ni.com/content/docs/DOC-8218.
    In this case, I generated only “simple_and.ngc” file by synthesizing “simple_and.v “file using Xilinx ISE 12.4 tool. Copied that “simple_and.ngc” and “SimpleAnd_Wrapper.vhd” file in the same directory.
    Query4. What is the difference between this method and the above one?
    2. I followed tutorial “Importing External IP into LABVIEW FPGA” for rest steps of creating a CLIP, declaring it and passing data between CLIP and FPGA VI. Link is http://www.ni.com/white-paper/7444/en. This VI executes perfectly on FPGA for the example”simple_and.vhd” file being provided in this tutorial.
    Compilation Errors Warnings received after compiling my SimpleAnd_Wrapper.vhd file
    Elaborating entity <SimpleAnd_Wrapper> (architecture <RTL>) from library <work>.
    WARNING:HDLCompiler:89"\NIFPGA\jobs\WcD1f16_fqu2nOv\SimpleAnd_Wrapper.vhd"    Line 35: <simple_and> remains a black-box since it has no binding entity.
    2. WARNING:NgdBuild:604 - logical block 'window/theCLIPs/Component_ dash_Level _IP_ CLIP0/simple_and_instant' with type   'simple_and' could not be resolved. A pin name misspelling can cause this, a missing edif or ngc file, case mismatch between the block name and the edif or ngc file name, or the misspelling of a type name. Symbol 'simple_and' is not supported in target 'spartan6'.
    3. ERROR:MapLib:979 - LUT6 symbol   "window/theVI/Component_dash_Level_IP_bksl_out1_ind_2/PlainIndicator.PlainInd icator/cQ_0_rstpot" (output signal=window/theVI/ Component_dash_Level _IP_bksl_out1_ ind_2/PlainIndicator.PlainIndicator/cQ_0_rstpot) has input signal "window/internal_Component_dash_Level_IP_out1" which will be trimmed. SeeSection 5 of the Map Report File for details about why the input signal willbecome undriven.
    Query5. Where lays that “section5” of map report? It maybe a ridiculous question, but sorry I really can’t find it; maybe it lays in xilnx log file!
    4. ERROR:MapLib:978 - LUT6 symbol  "window/theVI/Component_dash_Level_IP_bksl_ out1_ind_2/PlainIndicator.PlainIndicator/cQ_0_rstpot" (output signal= window / theVI/Component_dash_Level_IP_bksl_out1_ind_2/PlainIndicator.PlainIndicator/ cQ_0_rstpot) has an equation that uses input pin I5, which no longer has a connected signal. Please ensure that all the pins used in the equation for this LUT have signals that are not trimmed (see Section 5 of the Map Report File for details on which signals were trimmed). Error found in mapping process, exiting.Errors found during the mapping phase. Please see map report file for more details.  Output files will not be written.
    Seeing these errors I have reached the following conclusions.
    There is some problem in making that VHDL Wrapper file, LabVIEW does not recognize the Verilog component instantiated in it and treat it as unresolved black box.
    Query6. Is there any step I maybe missing while making this VHDL wrapper file; in my opinion I have tried every possibility in docs/help available in NI forums?
    2. Query7. Maybe it is a pure Xilinx issue i.e. some sort of library conflict as verilog module is not binding to top VHDL module as can be seen from warning HDLCompiler89. If this is the case then how to resolve that library conflict? Some hint regarding this expected issue has been given in point 7 of tutorial “How do I Integrate Verilog HDL with LabView FPGA module”. http://digital.ni.com/public.nsf/allkb/7269557B205B1E1A86257640000910D3. But nothing has been said much about resolving that issue.  
    3. Because of this unidentified black box, the whole design could not be mapped and hence could not be compiled.
    P.S.
    I have attached labview project zip folder containing simple_translate.v, simple_and_verilog.vi file,SimpleAnd_Wrapper.xml,  Xilinx log file after compilation alongwith other files. Kindly analyze and help me out in resolving this basic issue.
    Please note that I have made all settings regarding:
    Unchecked add I/O buffers option in XST of Xilinx ISE 12.4 project
    Have set “Pack I/O Registers into IOBs” to NO in XST properties of project.
    Synchronization registers are also set to zero by default of all CLIP I/O terminals.
    Please I need speedy help.Thanking in you in anticipation.
    Attachments:
    XilinxLog.txt ‏256 KB
    labview project files.zip ‏51 KB

  • Import a video into the mediathek

    I got a DVD from a friend with a video on it. This video has been taken by himself with his own video camera. Now I would like to import this video into the mediathek of iMovie. What are the correct steps that it will work properly.

    See my post here.
    https://discussions.apple.com/docs/DOC-3951

  • I am trying to import a video into Premier Elements 11 and keep getting an error message

    I'm trying to import a video into Premier Elements 11 and keep getting an error message but it doesn't say what the error is.

    This is the Photoshop Elements forum. You want the Premiere  Elements forum:
    Premiere Elements

  • I cannot import any video into my  Lightroom 5.4 (last version updated) for MAC

    I cannot import any video into my  Lightroom 5.4
    (last version updated) for MAC
    in the beginnig it was  working, just after installation and update... now nomore...
    I use .mp4 files
    Already tried to use a new catalog, clean caches, and reinstalling
    the error says "was not able to import video files"
    thanks

    Arghhh! A big thank-you to hhps-photo, you just solved the myth bothering me for the last 2 months!
    I recently upgraded to Lightroom 5 from 4 and could not import any video. But when I downgrade to LR4 it worked again. I've tried so many other solutions suggested in the forum but none worked including cleaning cache, install divix plugin, install 32 bit version etc. Finally - it's because I blocked LR5 connecitons in my firewall !!!
    So simply allowed LR5 internet connection in my firewall settings and LR5 worked like a charm!
    Kevin

  • When i import a video into iMovie the quality gets very ******,but when its not in iMovie it looks awesome

    when i import a video into iMovie the quality gets very ******,but when its not in iMovie it looks awesome, please help

    Exact same problem

  • TS3355 I loaded video from an external hard drive to my desktop. How do I import the video into iMovie 09? I tried "Import Camera Archive". Didn't work.

    I loaded video from an external hard drive to my desktop. How do I import the video into iMovie 09? I tried "Import Camera Archive". Didn't work.

    It depends on the type of video. iMovie will only import video that it can edit.
    In general, you would use FILE/IMPORT MOVIE...

  • I am importing digital video into my movie. But when it imports, the video has glitches in it every 5 seconds or so. When I look at the uicktime file, it has no glitches. What is up and what can I do?

    I am importing digital video into my movie. But when it imports, the video has glitches in it every 5 seconds or so. When I look at the uicktime file, it has no glitches. What is up and what can I do?

    I also just posted about this- having same issue.  Make an appointment & take it in.  I've called Apple twice & they could not help me over the phone.

  • Import a video into iphone imovie for editting

    Hi everyone!
    This is my first question and need a bit of help. I only have an iphone with imovie on it.
    Is it possible to import a video into imovie to use and edit it on the iphone?
    The video I want to import was taken by a camcorder and I assume I will need to convert it into an .MOV file but then how do I get it into imovie???
    Thank you in advance!

    You need to convert those videos to iMovie for iOS compatible video format on your computer, then sync the converted video to your iPhone 4. Here's instructions for doing so on a Mac:
    http://www.bigasoft.com/articles/how-to-import-camcorder-to-imovie-iphone4-ipad2 -ipod.html

  • I tried to import a video into iMovie. Its says their is not enough space on the destination disk. I deleted many projects, and events. Nothing changed. What files do I delete and how?

    I tried to import a video into iMovie. Its says their is not enough space on the destination disk. I deleted many projects, and events. Nothing changed. What files do I delete and how?

    Hard drive full or near full:
    You are going to have to delete, move or archive your data to regain hard drive space.
    Have you emptied your iMac's Trash icon in the Dock?
    If you use iPhoto, iPhoto has its own trash that needs to be emptied, also.
    If you use Apple Mail app, Apple Mail also has its own trash area that needs to be emptied, too!
    Other things you can do to gain space.
    Delete any old or no longer needed emails and/or archive older emails you want to save.
    Look through your Documents folder and delete any type of old useless type files like "Read Me" type files.
    Again, archive or delete any old documents you no longer use or immediately need.
    Uninstall apps that you no longer use. If the app has a dedicated uninstaller, use it to completely uninstall the app. If the app has no uninstaller, then just drag it to the OS X Trash icon  and empty the Trash.
    Download an app called OnyX for your version of OS X.
    When you install and launch it, let it do its thing initially, then go to the cleaning and maintenance tabs and run all of the processes in the tabs. Let OnyX clean out all web browser cache files, web browser histories, system cache files, delete old error log files.
    Typically, iTunes and iPhoto libraries are the biggest users of HD space.
    If you have any other large folders of personal data or projects, these should be moved, also, to the external hard drive and then either archived to disc and/or deleted off your internal hard drive.
    You may have to Purchase an external FireWire or Thunderbolt hard drive to move these files/data off of your internal drive to the external hard drive and deleted off of the internal hard drive.
    Good Luck!

  • Importing analogue video into imovie.

    I am trying to import analogue video into imovie 5 using my canon i830 dv camera with tape out. imovie 5 will not import using this method and as I only have a few tapes to transfer I do not want to purchase further hardware. Will imovie 6 enable me to do this. Any sugestions?

    iMovie has not changed in how it imports video from camcorders to the best of my knowledge. Your DV camcorder with a Firewire connection should import to iM4, 5 (HD), or 6. So it would appear that there is a problem with a setting, hardware, or something else keeping it from working. Sooooo....
    Let's explore your setup. You have a DV camcorder right? It has a Firewire port? You are connecting the camera, with a Firewire cable, directly to the Mac? Daisy chained off an external hard drive? Via a Firewire hub?
    Does the menu of the camera feature different connection types that you can select from (e.g. Firewire, USB, A/V jacks, etc.)?
    What mode do you put the camera in when you attempt to import? Camcorder record mode? VCR play mode? Other?
    When you hook up the camera what exactly happens? Do you get absolutely nothing? Do you get a message that the camcorder is connected but then can not get it to import? Do you get an error message?
    Are you in an iMovie project when you attempt to import? Or are you trying to see the camcorder from the desktop?
    Are you SURE the cable you have is OK? Have you tried it on another device to verify? Some cables have the molded over plastic higher on the connector than others. I personally have had devices with deep ports on them that a cable with more molded plastic (less exposed metal) not connecting but swapping to another cable with less molding and more of the metal connector exposed working correctly.
    Patrick

Maybe you are looking for