ISE 14.7 std_logic is not declared

I have installed ISE 14.7 from CD came with Artix 7 AC701 board.
When i synthesize i get the following error. 
ERROR:HDLCompiler:69 - "D:\Xilinx_Projects\fsm_test\test.vhd" Line 53: <std_logic> is not declared
Any solutions?

tanishaa122 wrote:
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use ieee.std_logic_signed.all;
use ieee.std_logic_arith.all;
package Convolution_pkg IS
TYPE real_vector is ARRAY(integer RANGE <>) OF real;
end;
use work.Convolution_pkg.ALL;
entity convolution is
    port ( x:in STD_LOGIC_VECTOR (0 to 3);
    h:in STD_LOGIC_VECTOR (0 to 1);
    y:out STD_LOGIC_VECTOR (0 to 4));
end convolution;
architecture Behavioral of convolution is
BEGIN
    process (x,h)
        variable sum :real := 0.0;
        variable temp :integer := 0;
    begin
        for k in y'range loop
            sum:=0.0;
            for n in h'range loop
                temp := k-n;
                if temp >= 0 then
                    sum := sum + h(n)*x(temp);
                end if;
            end loop;
            y(k) <= sum ;
        end loop;
    end process;
end Behavioral;
 in the following code i am getting the below errors:
 Started : "Synthesize - XST".
Running xst...
Command Line: xst -intstyle ise -ifn "E:/newcovolution/convolution.xst" -ofn "E:/newcovolution/convolution.syr"
Reading design: convolution.prj
=========================================================================
* HDL Parsing *
=========================================================================
Parsing VHDL file "E:\newcovolution\codecov.vhd" into library work
Parsing package <Convolution_pkg>.
Parsing entity <convolution>.
ERROR:HDLCompiler:69 - "E:\newcovolution\codecov.vhd" Line 14: <std_logic_vector> is not declared.
ERROR:HDLCompiler:69 - "E:\newcovolution\codecov.vhd" Line 15: <std_logic_vector> is not declared.
VHDL file E:\newcovolution\codecov.vhd ignored due to errors
You must always put the library use clauses at the start of every design unit.
Putting them at the start of a source file, when that source file includes more than one design unit, is not sufficient.
It is generally recommended to have only one design unit (entity, package, whatever) per file, and that file's name should be the same as the design unit's.
And after you fix that, how do you propose to assign a real value to a std_logic_vector?

Similar Messages

  • BPEL SCA: XML-20129: (Error) Namespace prefix 'ui' used but not declared.

    SOASuite 11g
    Oracle Weblogic Server 10.3.3
    Oracle Solaris on SPARC (64-bit) 10
    Database: Oracle Server - Enterprise Edition 10.2.0.5
    When I start the SOA domain server, I find the following in the log file:
    <Feb 25, 2011 10:41:23 AM CET> <Error> <org.apache.commons.digester.Digester> <BEA-000000> <Parse Error at line 9 column 79: <Line 9,
    Column 79>: XML-20129: (Error) Namespace prefix 'ui' used but not declared.
    org.xml.sax.SAXParseException: <Line 9, Column 79>: XML-20129: (Error) Namespace prefix 'ui' used but not declared.
    at oracle.xml.parser.v2.XMLError.flushErrorHandler(XMLError.java:422)
    at oracle.xml.parser.v2.XMLError.flushErrors1(XMLError.java:287)
    at oracle.xml.parser.v2.NonValidatingParser.parseDocument(NonValidatingParser.java:342)
    at oracle.xml.parser.v2.XMLParser.parse(XMLParser.java:226)
    at org.apache.commons.digester.Digester.parse(Digester.java:1785)
    at oracle.fabric.composite.Parser.parseComposite(Parser.java:132)
    at oracle.integration.platform.kernel.WLSFabricKernelInitializer.deployComposite(WLSFabricKernelInitializer.java:493)
    at oracle.integration.platform.kernel.WLSFabricKernelInitializer.prepareCompositeDeployments(WLSFabricKernelInitializer.java:
    239)
    at oracle.integration.platform.kernel.WLSFabricKernelInitializer.init(WLSFabricKernelInitializer.java:127)
    at javax.servlet.GenericServlet.init(GenericServlet.java:241)
    at weblogic.servlet.internal.StubSecurityHelper$ServletInitAction.run(StubSecurityHelper.java:283)
    at weblogic.security.acl.internal.AuthenticatedSubject.doAs(AuthenticatedSubject.java:321)
    at weblogic.security.service.SecurityManager.runAs(SecurityManager.java:121)
    at weblogic.servlet.internal.StubSecurityHelper.createServlet(StubSecurityHelper.java:64)
    at weblogic.servlet.internal.StubLifecycleHelper.createOneInstance(StubLifecycleHelper.java:58)
    at weblogic.servlet.internal.StubLifecycleHelper.<init>(StubLifecycleHelper.java:48)
    at weblogic.servlet.internal.ServletStubImpl.prepareServlet(ServletStubImpl.java:539)
    at weblogic.servlet.internal.WebAppServletContext.preloadServlet(WebAppServletContext.java:1976)
    at weblogic.servlet.internal.WebAppServletContext.loadServletsOnStartup(WebAppServletContext.java:1950)
    at weblogic.servlet.internal.WebAppServletContext.preloadResources(WebAppServletContext.java:1869)
    at weblogic.servlet.internal.WebAppServletContext.start(WebAppServletContext.java:3126)
    at weblogic.servlet.internal.WebAppModule.startContexts(WebAppModule.java:1512)
    at weblogic.servlet.internal.WebAppModule.start(WebAppModule.java:486)
    at weblogic.application.internal.flow.ModuleStateDriver$3.next(ModuleStateDriver.java:425)
    at weblogic.application.utils.StateMachineDriver.nextState(StateMachineDriver.java:41)
    at weblogic.application.internal.flow.ModuleStateDriver.start(ModuleStateDriver.java:119)
    at weblogic.application.internal.flow.ScopedModuleDriver.start(ScopedModuleDriver.java:200)
    at weblogic.application.internal.flow.ModuleListenerInvoker.start(ModuleListenerInvoker.java:247)
    at weblogic.application.internal.flow.ModuleStateDriver$3.next(ModuleStateDriver.java:425)
    at weblogic.application.utils.StateMachineDriver.nextState(StateMachineDriver.java:41)
    at weblogic.application.internal.flow.ModuleStateDriver.start(ModuleStateDriver.java:119)
    at weblogic.application.internal.flow.StartModulesFlow.activate(StartModulesFlow.java:27)
    at weblogic.application.internal.BaseDeployment$2.next(BaseDeployment.java:1267)
    at weblogic.application.utils.StateMachineDriver.nextState(StateMachineDriver.java:41)
    at weblogic.application.internal.BaseDeployment.activate(BaseDeployment.java:409)
    at weblogic.application.internal.EarDeployment.activate(EarDeployment.java:58)
    at weblogic.application.internal.DeploymentStateChecker.activate(DeploymentStateChecker.java:161)
    at weblogic.deploy.internal.targetserver.AppContainerInvoker.activate(AppContainerInvoker.java:79)
    at weblogic.deploy.internal.targetserver.BasicDeployment.activate(BasicDeployment.java:184)
    at weblogic.deploy.internal.targetserver.BasicDeployment.activateFromServerLifecycle(BasicDeployment.java:361)
    at weblogic.management.deploy.internal.DeploymentAdapter$1.doActivate(DeploymentAdapter.java:51)
    at weblogic.management.deploy.internal.DeploymentAdapter.activate(DeploymentAdapter.java:200)
    at weblogic.management.deploy.internal.AppTransition$2.transitionApp(AppTransition.java:30)
    at weblogic.management.deploy.internal.ConfiguredDeployments.transitionApps(ConfiguredDeployments.java:240)
    at weblogic.management.deploy.internal.ConfiguredDeployments.activate(ConfiguredDeployments.java:169)
    at weblogic.management.deploy.internal.ConfiguredDeployments.deploy(ConfiguredDeployments.java:123)
    at weblogic.management.deploy.internal.DeploymentServerService.resume(DeploymentServerService.java:180)
    at weblogic.management.deploy.internal.DeploymentServerService.start(DeploymentServerService.java:96)
    at weblogic.t3.srvr.SubsystemRequest.run(SubsystemRequest.java:64)
    at weblogic.work.ExecuteThread.execute(ExecuteThread.java:201)
    at weblogic.work.ExecuteThread.run(ExecuteThread.java:173)
    >
    My composite.xml is:
    <composite name="JIPSYHandleRTOTaskEBF" revision="1.0" mode="active" state="on"
    xmlns="http://xmlns.oracle.com/sca/1.0"
    xmlns:ui="http://xmlns.oracle.com/soa/designer/"^M
    xmlns:xs="http://www.w3.org/2001/XMLSchema">^M
    <import location="GetTimeoutPeriod.wsdl" namespace="http://xmlns.oracle.com/pcbpel/adapter/db/GetTimeoutPeriod/"/>
    <service ui:wsdlLocation="JIPSYHandleRTOTaskEBF.wsdl" name="client">
    </composite>
    But when it has been deployed, I see that this has been changed into:
    <composite name="JIPSYHandleRTOTaskEBF" revision="1.0" xmlns:xs="http://www.w3.org/2001/XMLSchema">
    <import location="GetTimeoutPeriod.wsdl" namespace="http://xmlns.oracle.com/pcbpel/adapter/db/GetTimeoutPeriod/"/>
    <service ui:wsdlLocation="JIPSYHandleRTOTaskEBF.wsdl" name="client">
    </composite>
    (I exported this from MDS).
    Apparently, some namespaces are stripped.
    This appears to happen during the deploy (using ant), because the version in the generated jar file is already missing the namespaces.
    The BPEL processes appear to work fine though.
    Why is this happening and how can I prevent these errors?

    I've fiddled around with the file composite.xml and found the following workaround:
    If I change the start of the file to:
    <?xml version="1.0" encoding="UTF-8"?>
    <composite name="JIPSYUpdateWorkflowReqABCSImpl"
    xmlns="http://xmlns.oracle.com/sca/1.0"
    xmlns:ui="http://xmlns.oracle.com/soa/designer/"
    xmlns:xs="http://www.w3.org/2001/XMLSchema"
    revision="1.0"
    mode="active"
    state="on">
    it remains unchanged and the errors during deployment are gone.

  • Error:  Namespace prefix 'split-by-page-break' used but not declared.

    I have my machine reimaged, now when I try to preview an rtf template I get the below error. Nothing has changed in my template and I am using 1.0.0 Build 9 as before my reimage as well. This is an uregent problem that I am not able to find the solution for on my own. Please advise with any help.
    Caused by: oracle.xdo.parser.v2.XPathException: Namespace prefix 'split-by-page-break' used but not declared.
         at oracle.xdo.parser.v2.XSLProcessor.reportException(XSLProcessor.java:782)
         at oracle.xdo.parser.v2.XSLProcessor.newXSLStylesheet(XSLProcessor.java:564)
         ... 14 more
    Thanks

    I'm just new to XMLP and am receiving the same error.. My error is occuring when trying to use sub-templates in my master template.
    I believe I have the syntax correct:
    To import template file:
    <?import:file:h:///CN_LTR_TEMPLATES.rtf?>
    to call the sub-template:
    <?call-template: signature:?>
    Its the call-template to signature thats generating my error message.. My only thought is that its not calling my import properly..
    Anyway.. Hope this might help you a bit.. you never mentioned anything about using a sub-templates. Perhaps since you formated your computer, your template may be in a different location or gone all together (that is if you are using sub-templates).
    If i figure anything out in the mean time, i'll let you know..
    Edited by: user8682333 on Aug 16, 2009 6:51 AM

  • Namespace Problem? Namespace prefix used but not declared.

    Hello Guru's,
    I am new to XSL templates, while genrating XML publisher report with these template, I am getting below "namespace" error:
    If I remove the "set:"/"str:" expressions from the template, it works fine. Is there anything syntactically wrong in the expression? but not getting the results
    Getting "Caused by: oracle.xdo.parser.v2.XMLParseException: Namespace prefix 'str' used but not declared." error while using this code
                             <xsl:call-template name="str:generate-string">
                                  <xsl:with-param name="text" select="' '"/>
                                  <xsl:with-param name="count" select="30"/>
                             </xsl:call-template>
    Getting "Caused by: oracle.xdo.parser.v2.XMLParseException: Namespace prefix 'set' used but not declared." error while using this code
         <xsl:template name="distinct">
              <xsl:param name="nodes" select="/.."/>
              <xsl:param name="distinct" select="/.."/>
              <xsl:choose>
                   <xsl:when test="$nodes">
                        <xsl:call-template name="distinct">
                             <xsl:with-param name="distinct" select="$distinct | $nodes[1][not(. = $distinct)]"/>
                             <xsl:with-param name="nodes" select="$nodes[position() &gt; 1]"/>
                        </xsl:call-template>
                   </xsl:when>
                   <xsl:otherwise>
                        <xsl:apply-templates select="$distinct" mode="set:distinct"/>
                   </xsl:otherwise>
              </xsl:choose>
         </xsl:template>
    I would appreciate any help in this regard.
    SA

    Declare them in the namespace :)
    <?xml version='1.0' encoding='windows-1252'?>
    <xsl:stylesheet version="1.0" xmlns:xsl="http://www.w3.org/1999/XSL/Transform">
    <xsl:template name="distinct">
    <xsl:param name="nodes" select="/.."/>
    , </xsl:stylesheet>

  • Namespace prefix 'xdosxlt' used but not declared

    I'm trying to remove duplicate data from my dataset (below)
    <MAIN>
    <PODATA>
    <PRJ>..
    </PRJ>
    <PRJ>..
    </PRJ>
    </PODATA>
    <PRJ>..
    </PRJ>
    <PRJ>..
    </PRJ>
    <PODATA>
    </PODATA>
    and followed code as given in below link but getting error message *"Namespace prefix 'xdosxlt' used but not declared"*
    https://blogs.oracle.com/xmlpublisher/entry/removin_duplicates
    In short:_
    Added a variable as
    <?variable:metrics;xdosxlt:distinct_values(PODATA)?>
    and in for loop referring as
    <?for-each:$metrics?><?sort:PONUMBER;'ascending';data-type='text'?>
    I cannot change the SQL as I need data on 2 group levels separately.
    Can you pls assist? I'm EBS 11i and BIP Template Builder for Word 10.1.3.4.2
    Edited by: oraclepro73 on May 8, 2012 3:19 PM

    Hi ,
    When i tried
    <?variable:metrics;xdosxlt:distinct_values(DISTRIBUTIONS_ROW)?>
    i get an error, any idea please?
    Thanks,
    Husam

  • Trouble sending messages to Dynamics AX: Element is not declared.

    I'm having problems getting Dynamics AX to accept a message from BizTalk.
    Here's my setup:
    AX 2012:
    A table, BTAIF_test, with two fields ("Name" and "Phone").
    A query, BTAIFQuery, with BTAIF_test as datasource.
    A service, BTAIFQueryService, autogenerated by the AX AIF Wizard, registered and deployed on
    An inbound port, BTAIF, using net.tcp.
    BizTalk:
    An incoming schema, generated from a simple XML file containing a name and a phone number.
    An outgoing schema, generated by the Consume WCF Service wizard.
    A map, mapping the two value fields from the incoming to the outgoing schema.
    A receive port, using a receive location with FILE transport to pick up the incoming message, and using XMLReceive pipeline
    A send port, using the previously mentioned map, and WCF-NetTcp configured with my AX service address and a simple soap header pointing at the create method of the service. It uses the XMLTransmit pipeline.
    When I drop a message in the receive location I get the following message in the Exception log of AX:
    "Invalid document schema. The following error was returned:  
    The 'http://schemas.microsoft.com/dynamics/2008/01/documents/BTAIFQuery:BTAIFtest_1' element is not declared."
    The send port has a backup FILE transport, and this is what the message looks like when it dumps it there:
    <?xml version="1.0" encoding="utf-8"?>
    <ns0:BTAIFQuery xmlns:ns0="http://schemas.microsoft.com/dynamics/2008/01/documents/BTAIFQuery"
    xmlns:st="http://schemas.microsoft.com/dynamics/2008/01/sharedtypes">
    <ns0:BTAIFtest_1 class="entity">
    <ns0:Name>John Hancock</ns0:Name>
    <ns0:Phone>555-123-4567</ns0:Phone>
    </ns0:BTAIFtest_1>
    </ns0:BTAIFQuery>
    Any pointers as to what I'm doing wrong here? I've been searching for information on the net and either nobody's doing this or it's so easy nobody cares to write about it. I'm hoping it's the latter and I'm just making some stupid mistake.

    From the above output I think the AxdExtType_Name, AxdExtType_Phone and AxdEnum_AxdEntityAction are defined as simple type in BTAIF_schemas_microsoft_com_dynamics_2008_01_sharedtypes.xsd, so the above output is correct as per this schema. Recheck if the
    format of schema is correct so that AX can accept it.
    Yes, they're defined as simple types, here's the relevant definitions from sharedtypes.xsd:
    <xs:simpleType name="AxdExtType_Name">
    <xs:annotation>
    <xs:documentation xml:lang="EN-US">Name:Name.</xs:documentation>
    </xs:annotation>
    <xs:restriction base="xs:string">
    <xs:minLength value="0" />
    <xs:maxLength value="60" />
    </xs:restriction>
    </xs:simpleType>
    <xs:simpleType name="AxdExtType_Phone">
    <xs:annotation>
    <xs:documentation xml:lang="EN-US">Telephone:Telephone number.</xs:documentation>
    </xs:annotation>
    <xs:restriction base="xs:string">
    <xs:minLength value="0" />
    <xs:maxLength value="20" />
    </xs:restriction>
    </xs:simpleType>
    <xs:simpleType name="AxdEnum_AxdEntityAction">
    <xs:annotation>
    <xs:documentation xml:lang="EN-US">AxdEntityAction:AxdEntityAction</xs:documentation>
    </xs:annotation>
    <xs:restriction base="xs:string">
    <xs:enumeration value="create" />
    <xs:enumeration value="update" />
    <xs:enumeration value="replace" />
    <xs:enumeration value="delete" />
    </xs:restriction>
    </xs:simpleType>
    This schema and the destination schemas are auto-generated by BizTalk's Consume WCF Service
    wizard.

  • Duplex print setup error - Namespace prefix 'section' used but not declared

    Hi All, I am getting the below error while trying to preview as PDF document. The issue seems to be caused by adding the below to form field in the rtf template (removing the below i can view the PDF document but it does not work as expected ie printing the terms and conditions to back of the page)
    <?section:force-page-count;'end-on-even-layout'?>
    Error::
    Caused by: oracle.xdo.parser.v2.XPathException: Namespace prefix 'section' used but not declared.
         at oracle.xdo.parser.v2.XSLProcessor.reportException(XSLProcessor.java:782)
         at oracle.xdo.parser.v2.XSLProcessor.newXSLStylesheet(XSLProcessor.java:564)
         ... 15 more
    FYI: I have been using the below link as example
    http://blogs.oracle.com/xmlpublisher/2007/10/here_are_my_terms_conditions.html
    Also reviewed the following link too apart from reading the user guide
    http://winrichman.blogspot.com/search/label/BI%20Publisher%20Last%20page%20continued
    Thanks, Maha

    Hi Maha,
    I have used the <?section:....?> command a few times, and I think it has to be enclosed within a <?for-each@section:.....?> loop. If you are still having issues, then upload your template and sample XML somewhere and I can try to take a look for you.
    Regards,
    Cj

  • Bind variable not declared - please help

    Hello,
    I have wrote a PL/SQL script to update some order_id's in a table. I have declared all my variables but get an error -- bind variable not declared. Can anyone tell me what the problem might be?
    DECLARE
             last_ship      DATE ;
             last_order     NUMBER;
             last_cust      NUMBER;
    VARIABLE curr_item      NUMBER;
    VARIABLE curr_order     NUMBER;
             aorder_id      CHAR(6);
             aitem_id       CHAR(2);
             acust_id       NUMBER(6);
             aship_date     DATE;
    CURSOR c1 IS
          SELECT 
                  order_id,
                  item_id,
                  ship_date,
                  cust_id
          FROM
                  test_sales
          ORDER BY
                  1,2,3,4,5;
    BEGIN
          SELECT
                  MAX(order_id)
          INTO
                   curr_order
          FROM
                   sales_order;
    OPEN c1;
         LOOP
             FETCH c1 INTO aorder_id, aitem_id, aship_date, acust_id;
             EXIT WHEN c1%NOTFOUND;
               last_cust      := c1.cust_id;          -- Saves the last values to check if processing same order
               last_order     := c1.aorder_id;        --
               last_ship      := c1.aship_date;       --
               IF c1.aorder_id = last_order AND c1.cust_id = last_cust AND c1.ship_date = last_ship THEN
                  curr_item   := curr_item  +1;
                  UPDATE test_sales SET c1.aorder_id = :curr_order;
                  UPDATE test_sales SET c1.aitem_id  = :curr_item;
               ELSE
                  curr_order := curr_order + 1;
                  curr_item  := 1;
                  UPDATE test_sales SET c1.aorder_id =  :curr_order;
                  UPDATE test_sales SET c1.aitem_id  = :curr_item;
               END IF; 
        END LOOP;
    CLOSE c1;
    END;
    /Cheers
    Mike

    check yours code you are direct accesing cusrsor named directly which is not allowed
      1  DECLARE
      2  vemp  emp.empno%TYPE;
      3  vemp1 emp.empno%TYPE;
      4  CURSOR c1 IS SELECT empno FROM emp;
      5  BEGIN
      6   OPEN c1;
      7    LOOP
      8     FETCH c1 INTO vemp;
      9     EXIT WHEN c1%NOTFOUND;
    10     vemp1:=c1.empno;
    11    END LOOP;
    12   CLOSE c1;
    13* END;
    SQL> /
       vemp1:=c1.empno;
    ERROR at line 10:
    ORA-06550: line 10, column 14:
    PLS-00225: subprogram or cursor 'C1' reference is out of scope
    ORA-06550: line 10, column 4:
    PL/SQL: Statement ignored
    SQL> DECLARE
      2  vemp emp.empno%TYPE;
      3  CURSOR c1 IS SELECT empno FROM emp;
      4  BEGIN
      5   OPEN c1;
      6    LOOP
      7     FETCH c1 INTO vemp;
      8     EXIT WHEN c1%NOTFOUND;
      9    END LOOP;
    10   CLOSE c1;
    11  END;
    12  .
    SQL> /
    PL/SQL procedure successfully completed.Yours code
    OPEN c1;
    LOOP
    FETCH c1 INTO aorder_id, aitem_id, aship_date, acust_id;
    EXIT WHEN c1%NOTFOUND;
    last_cust:= c1.cust_id;
    last_order:= c1.aorder_id;
    END LOOP;
    CLOSE c1;
    END;You sholud move it c1.cust_id within fetch statment or
    bind the cursor name with another local cursor variable
    then fetch into this local bind variable and use cursor
    via this variable
    e.g
    DECLARE
    CUSRSOR c1 IS SELECT cust_id,aorderid
        FROM <TABLE>;
    c2      c1%ROWTYPE;
    OPEN c1;
    LOOP
    FETCH c1 INTO c2;
    aorder_id:=c2.aorderid;
    last_cust:= c1.cust_id;
    last_order:= c1.aorder_id;
    END LOOP;
    CLOSE c1;
    END;Khurram

  • Compiler Error Message: BC30451: Name 'UserEmail' is not declared.

    Have the message when I test the page. Anyone sugest a
    solution please?
    Compiler Error Message: BC30451: Name 'UserEmail' is not
    declared.
    Page code below.
    <%@ Page Language="VB" ContentType="text/html"
    ResponseEncoding="iso-8859-1" %>
    <%@ Register TagPrefix="MM" Namespace="DreamweaverCtrls"
    Assembly="DreamweaverCtrls,version=1.0.0.0,publicKeyToken=836f606ede05d46a,culture=neutra l"
    %>
    <MM:DataSet
    id="dsUsers"
    runat="Server"
    IsStoredProcedure="false"
    ConnectionString='<%#
    System.Configuration.ConfigurationSettings.AppSettings("MM_CONNECTION_STRING_conSQLPerene lle")
    %>'
    DatabaseType='<%#
    System.Configuration.ConfigurationSettings.AppSettings("MM_CONNECTION_DATABASETYPE_conSQL Perenelle")
    %>'
    CommandText='<%# "SELECT dbo.Users.UserEmail,
    dbo.Users.UserPassword FROM dbo.Users WHERE
    dbo.Users.UserEmail=@UserEmail AND
    dbo.Users.UserPassword=@UserPassword" %>'
    Expression='<%# IsPostBack %>'
    Debug="true"
    ><Parameters>
    <Parameter Name="@UserEmail" Value='<%#
    IIf((Request.Form("UserEmail") <> Nothing),
    Request.Form("UserEmail"), "") %>' Type="NVarChar" />
    <Parameter Name="@UserPassword" Value='<%#
    IIf((Request.Form("UserPassword") <> Nothing),
    Request.Form("UserPassword"), "") %>' Type="NVarChar" />
    </Parameters></MM:DataSet>
    <MM:PageBind runat="server" PostBackBind="true" />
    <script language="VB" runat="server">
    Protected Sub Page_Load(ByVal Src As Object, ByVal E As
    EventArgs)
    ' Don't cache this page.
    Response.Expires = -1
    Response.AddHeader("Pragma", "no-cache")
    Response.AddHeader("cache-control", "no-store")
    ' Check user credentials entered on the page
    If (UserEmail.Value =
    dsUsers.FieldValue("UserEmail",Nothing))
    &&(UserPassword.Value =
    dsUsers.FieldValue("UserPassword",Nothing)) Then
    ' The user has been authenticated.
    ' 1. Create the authentication ticket.
    ' 2. Redirect to the appropriate page.
    ' 1. Create the authentication ticket.
    ' Create and use the forms authentication ticket.
    FormsAuthenticationTicket ticket = New
    FormsAuthenticationTicket(1,
    Request.Form("UserEmail"),
    DateTime.Now, ' issue time
    DateTime.Now.AddMinutes(30), ' expires in 30 minutes
    False, ' not persistent
    Dim ' role assignment gets stored in the UserData As
    "Users")
    ' Create a new (encrypted) HttpCookie using the ticket just
    created
    ' and name it accordingly to the value specified in the
    <forms> element
    ' in the web.config file.
    Dim cookie As HttpCookie = New
    HttpCookie(FormsAuthentication.FormsCookieName,FormsAuthentication.Encrypt(ticket))
    ' Add the cookie to the outbound response.
    Response.Cookies.Add(cookie)
    ' Redirect as appropriate.
    Dim ReturnUrl As String
    If Request.QueryString("ReturnURL") Is Nothing Then
    ReturnUrl = "/client-area/index.aspx"
    Else
    ReturnUrl = Request.QueryString("ReturnURL")
    End If
    Response.Redirect(ReturnUrl)
    Else
    Msg.Text = "Invalid Credentials: Please try again"
    End If
    End Sub
    </script>

    Answered myself. JUst named the imput fields incorrectly.
    Couple of other problems also but sorted and now working
    fine...

  • Varray of Objects "Bind variable not declared" error.. I don't want a bind variable.

    Hello.
    This program is supposed to pull values from a table using a loop, and in the loop, put the values in objects in a varray.  I'm new to objects and am stumped trying to get this program to run.  When I attempt to run it in SQL*Plus  I get the following feedback:
    Type created.
    Type body created
    SP2-0552: Bind variable "MY_VARRAY_EMP1" not declared.
    I don't think I even need a bind variable.  Any feedback would be appreciated.  Here's the program:
    -- Enable screen I/O
    SET SERVEROUTPUT ON SIZE 1000000
    SET VERIFY OFF
    -- begin object spec
    CREATE OR REPLACE TYPE employee3 AS OBJECT
      ename CHAR (20 char),
      empno NUMBER (4),
      sal NUMBER (10),
      MEMBER FUNCTION get_ename RETURN CHAR, MEMBER PROCEDURE set_ename (SELF IN OUT NOCOPY employee3),
      MEMBER FUNCTION get_empno RETURN NUMBER, MEMBER PROCEDURE set_empno (SELF IN OUT NOCOPY employee3),
      MEMBER FUNCTION get_sal RETURN NUMBER, MEMBER PROCEDURE set_sal (SELF IN OUT NOCOPY employee3)
    -- begin object body
    CREATE OR REPLACE TYPE BODY employee3 AS
      -- gets
      MEMBER FUNCTION get_ename RETURN CHAR IS
      BEGIN
      RETURN self.ename;
      END;
      MEMBER FUNCTION get_empno RETURN NUMBER IS
      BEGIN
      RETURN self.empno;
      END;
      MEMBER FUNCTION get_sal RETURN NUMBER IS
      BEGIN
      RETURN self.ename;
      END;
      -- sets
      MEMBER PROCEDURE set_ename(SELF IN OUT employee3) IS
      BEGIN
      self.ename := ename;
      END;
      MEMBER PROCEDURE set_empno(SELF IN OUT employee3) IS
      BEGIN
      self.empno := empno;
      END;
      MEMBER PROCEDURE set_sal(SELF IN OUT employee3) IS
      BEGIN
      self.sal := sal;
      END;
    END;
    DECLARE
      TYPE emp_varray IS VARRAY(10) OF EMPLOYEE3;
      my_varray_emp1 EMP_VARRAY;
      -- List of EMPNO's in order of appearance in EMP table (for cross-referencing, single-line retrieval)
      TYPE MYCREF_VARRAY IS VARRAY(10) OF NUMBER(4);
      varray_mycref MYCREF_VARRAY := MYCREF_VARRAY(NULL,NULL,NULL,NULL,NULL,NULL,NULL,NULL,NULL,NULL);
      this_object EMPLOYEE3;
      -- make a variable to store one empno
      thisno NUMBER(4);
      -- make a counter
      counter INT;
      -- query variables for the set calls
      q_ename CHAR(20 CHAR);
      q_empno NUMBER(4);
      q_sal NUMBER(10);
      my_result INT;
    BEGIN
      --my_varray_emp1 := EMP_VARRAY(NULL,NULL,NULL,NULL,NULL,NULL,NULL,NULL,NULL,NULL);
      -- Put the first 10 EMPNO's in my cref array
      SELECT empno BULK COLLECT INTO varray_mycref FROM emp WHERE ROWNUM < 11;
      -- Use a loop to retrieve the first 10 objects in the "emp" table and put them in the varray of objects
      q_ename := NULL;
      q_empno := NULL;
      q_sal := NULL;
      my_result := NULL;
      this_object := NULL;
      counter := 1;
      FOR counter IN 1..10 LOOP
      thisno := varray_mycref(counter);
      this_object := my_varray_emp1(counter);
      SELECT ename INTO q_ename FROM emp WHERE empno = thisno;
      my_result := this_object.set_ename(q_ename, NULL);
      SELECT empno INTO q_empno FROM emp WHERE empno = thisno;
      my_result := this_object.set_empno(q_empno, NULL);
      SELECT sal INTO q_sal FROM emp WHERE empno = thisno;
      my_result := this_object.set_sal(q_sal, NULL);
      END LOOP;
      -- Use another loop to display the information in the reverse order.
      FOR counter in REVERSE 1..10 LOOP
      this_object =: my_varray_emp1(counter);
      dbms_output.put_line((this_object.get_ename()) || CHR(9) || (this_object.get_empno()) || CHR(9) || (this_object.get_sal()));
      END LOOP;
    END;

    Cleaning up your code for errors and eliminating unnecessary complexity...
    Add a user-defined constructor which takes all attributes and calls the "setter" procedures in one trip:
    -- Enable screen I/O
    set SERVEROUTPUT on size 1000000
    set VERIFY off
    -- begin object spec
    create or replace type employee3 as object
      ename CHAR (20 char),
      empno NUMBER (4),
      sal NUMBER (10),
    constructor function employee3(
        self    in out nocopy    employee3,
        aEname    in        char,
        aEmpNo    in        integer,
        aSal    in        number
      return self as result,
      member function get_ename return CHAR, member procedure set_ename (SELF in out nocopy employee3, ename in char),
      member function get_empno return NUMBER, member procedure set_empno (SELF in out nocopy employee3, empno in integer),
      member function get_sal return NUMBER, member procedure set_sal (SELF in out nocopy employee3, sal in integer)
    -- begin object body
    create or replace type body employee3 as
      constructor function employee3(
        self    in out nocopy    employee3,
        aEname    in        char,
        aEmpNo    in        integer,
        aSal    in        number
      return self as result
      is
      begin
        self.set_ename(aEname);
        self.set_empno(aEmpNo);
        self.set_sal(aSal);
        return;
      end;
      -- gets
      member function get_ename return CHAR is
      begin
      return self.ename;
      end;
      member function get_empno return NUMBER is
      begin
      return self.empno;
      end;
      member function get_sal return NUMBER is
      begin
      return self.sal;
      end;
      -- sets
      member procedure set_ename(SELF in out employee3, ename in char) is
      begin
      self.ename := ename;
      end;
      member procedure set_empno(SELF in out employee3, empno in integer) is
      begin
      self.empno := empno;
      end;
      member procedure set_sal(SELF in out employee3, sal in integer) is
      begin
      self.sal := sal;
      end;
    end;
    (Since I don't have EMP handy at the moment, create a simple view instead)
    create or replace view emp
    as
    select    'EMP' || to_char(level) ename
    ,    level + 100 empno
    ,    DBMS_RANDOM.VALUE(25000,75000) sal
    from    DUAL
    connect by
        level <= 20
    Get rid of your loop and individual SELECTs, and replace it with a single SELECT BULK COLLECT INTO...
    declare
      type emp_varray is varray(10) of EMPLOYEE3;
      my_varray_emp1 EMP_VARRAY;
      this_object EMPLOYEE3;
    begin
      -- No need for a loop. Use SELECT BULK COLLECT INTO, together with a user-defined constructor call (since the
      -- user-defined constructor overrides the default constructor we need to call it using named-parameter notation):
      select    new employee3(
                aEname    => e.ename,
                aEmpNo    => e.empno,
                aSal    => e.sal
      bulk collect into
            my_varray_emp1
      from        emp e
      where        rownum <= 10;
      -- Use another loop to display the information in the reverse order.
      for counter in reverse 1..10 loop
      this_object := my_varray_emp1(counter);
      dbms_output.put_line((this_object.get_ename()) || chr(9) || to_char(this_object.get_empno()) || chr(9) || to_char(this_object.get_sal()));
      end loop;
    end;
    EMP10        
    110    60110
    EMP9         
    109    67485
    EMP8         
    108    58242
    EMP7         
    107    47597
    EMP6         
    106    58995
    EMP5         
    105    49098
    EMP4         
    104    47406
    EMP3         
    103    67574
    EMP2         
    102    59663
    EMP1         
    101    52929
    PL/SQL procedure successfully completed.
    Gerard

  • Html elements not declared as items ?

    Hello !
    Related to that thread (Reusing existing htp pages my question :
    Is there a chance to use html elements which are not declared as items (added a manually in html region…) ? I tried to cheat a bit by naming it p_t100 for example. Indeed, “p_t100” is accepted by the wwv_flow.accept procedure (no miss match error message). But, I’m unable to get back the posted value in an “after submit” process.
    Thank you in advance
    Pat

    Pat,
    It is not recommended you add your own HTML form elements to HTML DB pages. You point out one of the main reasons yourself. May I ask why you feel compelled to do this?
    Sergio

  • Cisco ISE with AD Problem: "Could not read groups data: Global catalog not found"

    Hi all,
    When I make the ActiveDirectory integration with Cisco ISE, I have complete with this integration. but when I try to read the Groups from Active Directory, ISE shows the message "Could not read groups data: Global catalog not found".
    My Domain has multiple sites and subnets, each contains GC for local logon. I have set ISE to the correct site and subnet. Forward and Reverse DNS are working with no error.
    Does anyone get this problem, please help.
    I have check into the ISE CLI Reference Guide 1.1.x
    You are about to configure Active Directory settings.
    Are you sure you want to proceed? y/n [n]: y
    Parameter Name: dns.servers
    Parameter Value: 10.77.122.135
    Active Directory internal setting modification should only be performed if approved by ISE
    support. Please confirm this change has been approved y/n [n]: y
    What shoud I set in the Parameter Name ? dns.servers or my dns hostname ?
    Please suggest for this too.
    Thanks and Regards,
    Pongsatorn M.

    Hi Pongsatorn,
    Thanks for the reply!
    I've attached the results of the ISE detailed AD test. As you can see, there is a fair number of domain controllers in the AD forest.
    It seems everything works correctly until it gets to testing the AD connectivity on port 3268. Then I get this:
      Testing Active Directory connectivity:
        Global Catalog: pdascdc02.xyz.com
          gc:       3268/tcp - refused
      Testing Active Directory connectivity:
        Global Catalog: pdascdc02.xyz.com
          gc:       3268/tcp - refused
    For some reason, the request to the controllers on port 3268 is being refused.
    Any thoughts you might have are greatly appreciated.
    Cheers,
    Greg

  • Newbie: XML/Java - The entity "rsquo" was referenced, but not declared.

    I get the following error when I try to run my java program(it's supposed to read an xml file and print out some of the content).
    How can I fix this problem?
    Thanks,
    [Fatal Error] subject.xml:4:233: The entity "rsquo" was referenced, but not declared.
    org.xml.sax.SAXParseException: The entity "rsquo" was referenced, but not declared.
    at com.sun.org.apache.xerces.internal.parsers.DOMParser.parse(Unknown Source)
    at com.sun.org.apache.xerces.internal.jaxp.DocumentBuilderImpl.parse(Unknown Source)
    at javax.xml.parsers.DocumentBuilder.parse(Unknown Source)
    at DomParserExample2.parseXmlFile(DomParserExample2.java:42)
    at DomParserExample2.runExample(DomParserExample2.java:24)
    at DomParserExample2.main(DomParserExample2.java:115)
    Exception in thread "main" java.lang.NullPointerException
    at DomParserExample2.parseDocument(DomParserExample2.java:54)
    at DomParserExample2.runExample(DomParserExample2.java:27)
    at DomParserExample2.main(DomParserExample2.java:115)

    The easiest way to fix your problem is to not put unreferenced entities into the document. That just makes the document be not well-formed. If somebody else gave you that document, send it back to them and tell them to fix it. If you made it yourself, then you did it wrong. It's hard to say how you did it wrong because you didn't say anything about that.
    If you were hoping for some Java code, there isn't any. If you get an XML document which isn't well-formed, all you can do is to reject it. And that's what happened there. It's the correct thing to do.
    That tutorial you linked to is correct as far as it goes, but in your case you've just got some HTML which somebody carelessly reformatted into XML. You don't want to write an EntityResolver for HTML entities, you either want them to not be there, or for there to be a DTD at the beginning which points to something declaring them.

  • When opening XHTML page, getting error message "Attribute ....space not declared for element 'pre' "

    Hi all,
    We are trying to use XHTML to author some of our documentation.  The plan is to use FrameMaker to convert the docs to PDF format.
    The input file contains the following offending line:
    <pre xml:space="preserve">
    This should be allowed according to the www.w3.org/1998/xhtml spec.
    The actual FM log message is:
    Message: Attribute '{http://www.w3.org/XML/1998/namespace}space' is not declared for element 'pre'
    Any hints?  I am using Frame Maker 9, by the way.
    Thanks,
    Frances

    In case anyone is interested the base solution given by dvohra09 can be expanded to solve the problems.
    (1) It appears the parser raises errors against any unknown attributes, including xmlns:xxx="...". Solution is either to declare them in the dtd element you would like them to appear in (as in answer from dvohra09 ).
    (2) You have to explicitly force the tags in the DTD into the correct namespace using prefixs to ensure the correct ones are picked up , e.g.:
       <!ELEMENT nstag:mytag (mysubtag+)>
       <!ATTLIST nstag:mytag   xmlns:nstag CDATA #FIXED  "http://www.someOtherURI.com/xxx">
    you then have to refer to the tag as <nstag:mytag>.
    This doesn't appear to be how the XML/namespace specs (or the slideshow example) say it should be. They imply (1) should silently declare the new namespace prefix, and (2) should work without prefixes because the DTD filename is used as an explicit namespace.

  • Syntax Error "Method was not declared or inherited in class"

    Dear all,
    I am seeing the following syntax error in one of the standard classes CL_ICCMP_BT_INRHISTRESULT_CN01 which says "Method "XYZ" was not declared or inherited in class". Need help to identify when this error would occur or where I should be checking for this error within the class.
    I checked other systems for the same class, the method XYZ does not exist there too but still the class has no syntax errors!
    Would appreciate your help!
    Thank you.
    Regards,
    Gayathri.

    Hi Gayathri,
    please give detailed information on how and where you get this error.
    CL_ICCMP_BT_INRHISTRESULT_CN01 does not exist in our ECC600 system.
    Regards,
    Clemens

Maybe you are looking for