Searching xml, importing found stuff into newDocument

I've an xml file which is structured like
<people>
     <name id="my name">
          <holiday>
               <allocated num="25"/>
               <taken num="0"/>
               <unused num="25"/>
          </holiday>
          <time>
               <admin />
               <marketing />
               <projects />
               <RandD />
               <offSick />
          </time>
     </name>
     <name id="somebody else">
     etc.
</people>I have a user id as a String, for example userID = "my name". Ive read in the file to an object and search through it untill I find a node whose attribute matches the userID. I then want to copy this node, and all of its children into a newDocument called details. This is the code i have at the moment:
//Create a new document
DocumentBuilder domBuilder = newBuilder(false);
Document details = domBuilder.newDocument();
//Get the root Element of timeRecord
Element timeRoot = timeRecord.getDocumentElement();
//Find all Elements with tag name "name"
NodeList nameList = timeRoot.getElementsByTagName("name");
//Step through each of these name Elements
for (int i = 0; i < nameList.getLength(); i++){
     Node nameNode = nameList.item(i);
     //Get the attributes associated with this Element
     NamedNodeMap attributes = nameNode.getAttributes();
     log("NamedNodeMap created");
     Node id = attributes.getNamedItem("id");
     log("got id = attributes.getNamedItem");
     String nameID = id.getNodeValue();
     //Test to see if this Element is the name we are looking for
     //if yes, clone + recursively clone all child nodes
     if (nameID.equals(userID) ){
          Node person = details.importNode(nameNode, true);
          save2File("data", "details.xml", details);
return details;even though it does find the matching attribute, the details document remains empty (if I save it to file using an IDTransform, all I get is the <?xml version="1.0" encoding="UTF-8"?>)
can anyone please help -what do I need to change?
RT

I've searched quite a lot through the forum, and have four thread printed off in front of me. In between them, they've given me enough - didn't really find anything that exactly answered the orriginal question, but, like I said, enough.
//Create a new document
DocumentBuilder domBuilder = newBuilder(false);
Document details = domBuilder.newDocument();
//Get the root Element of timeRecord
Element timeRoot = timeRecord.getDocumentElement();
//Find all Elements with tag name "name"
NodeList nameList = timeRoot.getElementsByTagName("name");
//Step through each of these name Elements
for (int i = 0; i < nameList.getLength(); i++){
     Node nameNode = nameList.item(i);
     //Get the attributes associated with this Element
     NamedNodeMap attributes = nameNode.getAttributes();
     Node id = attributes.getNamedItem("id");
     String nameID = id.getNodeValue();
     //Test to see if this Element is the name we are looking for
     //if yes, clone + recursively clone all child nodes
     if (nameID.equals(userID) ){
          Element foundTag = details.createElement("found");
          details.appendChild(foundTag);
          Node person = details.importNode(nameNode, true);
          Node root = details.getDocumentElement();
          root.appendChild(person);
//If nothing has been found, root will be null, create response telling of error
if (details.getDocumentElement() == null)
     Element errorTag = details.createElement("error");
     details.appendChild(errorTag);
return details;

Similar Messages

  • InDesign CS3 Scripting XML Import Multiple Images into same Text Frame

    I am having trouble importing multiple images into the same Text Frame using XML import. I imported 5 images into the text frame. However, all 5 images are laying on top of one another. Does anyone know if there is a way to have all images laying out like how Microsoft Word handles inline images, i.e., laying out next image to the right of previsous image in the same line and if there is not enough space left in the line, then wrap to next line. Thanks in advance. I understand I could use JavaScript to do post import processing, e.g, calculate the image size and place each images accordingly. But I am trying to see whether there is a way to do this without scripts.

    You can apply an object style to all anchored images by script. A text frame containing main flow should be selected.
    var doc = app.activeDocument;
    var textFrame =  app.selection[0];
    var rectangles = textFrame.texts[0].rectangles;
    if (rectangles.length > 0) {
         for (var i=0; i < rectangles.length; i++) {
              rectangles[i].appliedObjectStyle = doc.objectStyles.item("Cover");
    However, there is a better approach:
    Step 1
    Create place holders for a single "Book" element and format it as needed -- apply an object style to the cover.
    Step 2
    Import the xml file -- the placeholders are replaced with data from the 1st xml element
    Step 3
    Drag & drop the element containing all "Books" elements into the main flow -- all elements are placed and formatted the same way as in step 1.
    Finally, add a new page, click the overset text icon and autoflow text to add pages so that to fit all the text.
    Hope this helps.
    Kasyan

  • I'm trying to find an easier way to import my music to iTunes. Even the most basic music player can scan your computer and import found music into your library. I don't want to move my MP3s into the iTunes folder, I don't want iTunes doing any conversion.

    I'm trying to find an easy way to import my MP3 collection into iTunes without moving, copying or changing it.
    I can't believe that iTunes doesn't have this feature, as even the most basic music players always have this.
    I only use iTunes to sync my iPod, as I like Media Monkey to play my MP3s.
    I have 137GB of MP3s, mainly from my ripping my CDs, LPs and downloading from Amazon. I've only purchased a handful of songs from iTunes,
    Any ideas on how to do this easily?

    DAReese13 wrote:
    I can't believe that iTunes doesn't have this feature, as even the most basic music players always have this.
    It does have that feature. Why would you assume that it doesn't?
    DAReese13 wrote:
    I'm trying to find an easy way to import my MP3 collection into iTunes without moving, copying or changing it.
    Okay, no problem.
    If the top bar menu is not displayed in top left of your iTunes Library, use the CTRL+B keys to turn it on:
    On that menu, use File/Add Folder to Library and navigate to the folder you wish to add:
    If you wish to add just one song, notice the File/Add File to Library command on that menu as well.
    Alternatively, you can drag-and-drop from the file's location into your iTunes Library.
    That's all there is to it.
    By the way, it is possible to have Amazon MP3s downloaded to your computer and then automatically added to the iTunes Library by the Amazon downloader. I do it all the time.
    Message was edited by: the fiend

  • XML import into floating fields issue

    Probably missing something obvious, but my searches are coming up blank.  Here's the workflow I'm shooting for:<br /><br />-Create form1 in LCD<br />-User fills out form and submits via email the XML data<br />-Create form2 in LCD that uses floating text fields to create a dynamic text document based on the info in form1<br />-Import XML data from form1 into form2<br />-Print form2<br /><br />Most of it works, but there is a subform on form1 that form2 doesn't import the data from.<br /><br />The XML winds up like this:<br /><variable1>Variable 1 Info</variable1><br /><variable2>Variable 2 Info</variable2><br /><subform><br /><variable3>Variable 3 Info</variable3><br /><variable4>Variable 4 Info</variable4><br /></subform><br /><br />In this example, form2 properly imports variable1 and variable2, but ignores variable3 and variable4.  Manually removing the <subform> tags yields the desired result.<br /><br />Something in the text field binding in form2, maybe?<br /><br />Thanks in advance,<br />SyddyS

    The answer appears to lie in the hierarchy and naming. All forms, pages, subforms, etc. have to be named exactly the same and have the same hierarchical flow for the floating text fields to bind properly to imported data. This kind of blows for my purposes, as "form2" had my text fields spread across multiple pages and multiple subforms. Naming all the subforms the same as the subform in "form1" made the first one work, but none of the subsequent.
    If anyone has any alternative thoughts, I'd appreciate it. My workaround is to put ALL of my text fields in form1 on the same level, and then call them out one by one in the scripting to make the ones I want visible or hidden. Wrapping them in a subform just causes too much trouble down the line. Ugly, but functional.
    Thanks,
    SyddyS

  • When I import IE8 bookmarks into Firefox Portable, the text files or downloaded Google searches or other downloaded websites that I saved and added to my various Favorites folders do not appear ... and (2) if I can get them to appear, will I continue to b

    When I import IE8 bookmarks into Firefox Portable, the text files or downloaded Google searches or other downloaded websites that I saved and added to my various IE8 Favorites folders do not appear ... and (2) if I can get them to appear, will I still be able to add text files to the Firefox Favorites folders ... and how.
    == User Agent ==
    Mozilla/4.0 (compatible; MSIE 8.0; Windows NT 5.1; Trident/4.0; Mozilla/4.0 (compatible; MSIE 6.0; Windows NT 5.1; SV1) ; .NET CLR 1.1.4322; .NET CLR 2.0.50727; .NET CLR 3.0.04506.30; .NET CLR 3.0.4506.2152; .NET CLR 3.5.30729)

    http://portableapps.com/forums/support/firefox_portable

  • Insane XML Import, Huge Project, Duplicate file names work around...

    I planned on kicking off my journey attempting to edit a massive multi year documentary on FCPX with a nice introduction of the blog I'm going to keep about the experience, but I've run into a bit of a roadblock, or maybe major speed bump at least before even getting to that point. I wanted to share what is working as a work around for me and you guys can tell me how I'm doing it wrong.
    Ok, I will try to explain this as succinctly as possible. I'll write in somewhat stream of consciousness just to try and get through it quicker... Basically, after discovering the work around below, I am now utterly confused on how FCPX handles the relationship between its own database of where media is stored, and the actual media itself. I have plenty experience on FCPX now, probably done 30-40 pro commercial jobs on it over the last year since XML became doable as I'm also a Resolve Colorist and all the FCPX projects where hardcore coloring product spots. For commercial work, I never needed to worry about splitting up footage up over multiple Events. Everything, all in one, FCPX handled it no problem. (well the occasional beach ball, but that seems to be a thing with FCPX in general)
    This will be my 10th feature documentary as an Editor. Every one before it was either on Avid's many flavors over the last 12 years or FCP Studio. When this new film came along, I made the decision a few months ago to use FCPX for a few reasons, but mostly because I'm insane and I like to try to mix it up for myself in a career that can get stale quick if you aren't willing to be that way. The film was shot over 2+ years, every shoot was multi cam 5D (yes i know, looks great, but please kill me), I haven't done the math on length, but there is over 10,000 clips of video (this is actually medium in size compared to what I've dealt with before). Its 5D, so theres external audio for everything. FCPX's syncing is great, but I've learned that theres an unsaid window of heads and tales clips must fall within to sync properly with the nearby clips, if they are too far apart FCPX gives up. One shoot day could have 3 cams, 50 clips each, and 2 audio files to sync to, FCPX simply cannot handle this, so off to Plural eyes they went, no problems.
    Ok, all this is relevant eventually I swear! Again, in the past, all in one event, no problem. I tried for fun to bring all media into one Event on this film. It worked, but there is a 10+ second spinning beach ball for every single move you make, so thats no good. Ok, I'll separate the Events out to, lets say, each shoot month. Well that's dumb, in verite documentary, any shot could be the first, any shot could be the last, you need a command over all searchable footage at all times. Shift selecting all events to search *****, and it actually takes longer for FCP to reload each event each time than it does to just have the one massive one. So no go there. Next hair brained idea... What if make a new Event that is just Compound Clips of all the other Event's contents and do more with Markers and Favorites in logging that I was planning to parse it all out. That way I'm working with and FCPX is dealing with 50-60 clips instead of 10,000+ Quick test, Cmd-A, Opt-G, boom, boom, boom, move all to dedicated to Event, hide huge Event, BEHOLD, that works! FCPX chokes a little bit on the insane length of some of the clips, but searching, and general performance is back on par!
    So your saying to yourself "Ok *********, sounds like you figured it out, what's the problem." Well to you I say, "Not so fast!" Remember, that was just a quick test using the media I had imported into the massive 10,000+ clip Event. To do this project proper, I am having to import Multicam sync'd XMLs from Plural Eyes. And this is where it all starts to fall apart. A little foreshadowing for your eager eyes. 10,000+ files all shot with multiple 5D's over the course of years. What does that mean? many, many duplicate file names!
    FCPX as well all know irritatingly imports XML's as new Events, not into existing ones. This obviously takes a lot of burden off media management because with a new Event comes a new database referencing its own version of the raw media. All well and good, and I'm betting its starting to click for some if you advanced users where I'm finally going with this. So I have 50 or so XMLs to bring in, all done no problem. Now I want to replicate that singular Event like I did with the Compound Clip test and have it all in one place to be my master as extensive logging begins for easy searching once editing begins. Highlight the Events, click Merge Events. NOPE. I get a new "Kill Yourself Now" error (a term I coined for Out of Memory and General Error messages in FCP Legacy meaning there ain't much you can do about it): "Two or more files have the same name. Change the names and try again, because I don't know what the **** to do with them." Ok I made up that last part but that's basically what it's saying. Just take the variable out of the equation, this happens with every which way you could try to get the clips together. Merge Events, dragging events on top of each other, dragging just the Multicam clip alone, nothing gets passed that message. What's worse is that while Batch Renaming seems like a solution, the renames do not populate inside the created clips and there is no way to Batch Rename those. Renaming everything at the finder level isn't so great because then I'd have to resync and theres an offline/online thing going here where the film has to be reconformed eventually.
    Basically, I've found that FCPX handles media management in completely different ways depending on whether you are importing into one Event yourself or doing essentially what is a new import with FCPX moving or merging Events. If you bring in all the media to one Event, on a macro level FCPX goes through file by file making aliases referencing the master file. If it hits a duplicate, it makes a parenthesis counter, and keeps going. And with the genius of FCPX metadata, that file name doesn't even matter, you can change it at the Finder level and FCPX will keep the link intact. BUT for some reason if you try to do this outside the realm of a single Event and combine files after the fact a different process takes over in creating this database system and can't handle the duplicates. I can't totally figure the reason other than it probably is scared to change the originally referenced alias to something else (which it shouldn't be scared of since Merge Events deletes the original anyway).
    This is where it gets INSANE and where I lose all understanding of what is going on, and believe me it was a delicate understanding to begin with. It comes in to play with the work around I figured out. I make the master Event with the 10,000+ clips. Then I import all the XMLs as dedicated Events. Now, I then drag the Multicam clips into the master Event, it WORKS! just takes it, no "Kill Yourself Now" error. Stranger still, now with the switched referenced Event, it even takes into account which aliased duplicate file name it's referencing in the Original Media folder. Somehow, it's taking into account the original file path and saying "Ok, I see 5 instances of MVI_5834.mov. Based on the original file path or maybe creation date or god knows what, it must be MVI_5834 (fcp3).mov." It connects perfectly. I can even remove the old XML imported Event with no problem. Crazier yet, I can now move those again to the dedicated Event I wanted originally that only contains those Multicam or Compound Clips.
    So instead of going straight from A to C, going from A to B to C works even though that actually seems way more complicated. Why can't FCPX handle Merge Events and dragging clips the same way it handles media imported into a single Event. And weirder still, why can't FCPX handle the (fcp1,2,3...) appending in the same scenario. But if the appended links are already there, No Problem. And for the love of god, it'd be nice to important XML's into existing Events and make the correct referencing happen right from the get go, which is really the source of all the above headache. I'd have no problem helping FCPX with a little manual pointing in the right direction just like any other NLE needs.
    Ok, having said all of that crap above, my question is, have I missed something completely simple I should have done instead? Now that I have everything in place how I originally envisioned, I think I will still play around a little bit more to make sure FCPX is really going to be able to handle this project. I'm at a stage right now where going another direction is still an option, although the dare devil in me wants to make this work. Media management aside, once you start editing on a FCPX timeline, its hard to go back to anything else. Apple is going to have to figure out some way not to access to everything at all times to work fluidly or big projects like this are never going to be practical in FCPX.
    Sorry for the long confusing post....

    I'm having the exact same problem, but I know nothing of ruby scripts. I've exhausted my resources with tech support, and after literally hours of work with them it seems I now am faced with either re-rating each individual song, or pointing iTunes to each song that it can't locate. Is yours a solution that could help me? How can I find out more about Ruby Scripts? Thanks for your help, hellolylo (or anyone else who might also be able to help...)
    Kenn

  • Importing excel data into oracle tables

    Hello gurus,
    Importing excel data into oracle tables..
    I know this is the most common question on the thread ...First, i searched the forum, i found bunch of threads with loading data using sqlloader, converting excel into .Txt, tab delimited file, .csv file etc....
    Finally i was totally confused in terms how to get this done....
    Here is wat i have
       - Excel file on local computer.
       - i have laod data into dev environment tables(So no risk involved, but want to try something simple)
       - Oracle version 11.1.0.7
       - Sqlplus and toad (editors)
    Here is wat i like to do ....i dont know if its possible
        - Without going to unix server can i do everthing on local system by making use of oracle db and sqlplus or toad
       SQLLOADER might be one option...but i dont want to go the unix server for placing files and logs and stuff.
    Wat will be best and simplest option to do?? and wat format will best to convert from excel into csv, or txt or tab delimited etc.....
    If you suggest sqlloader, any code example will be greatly appriciated.
    Thank you so much!!!

    Hi,
    user642297 wrote:
    Imran,
    This is increadible option in toad!!! It works absolutely sweet!! I have toad 9.7 version. IT works great. Thank you so much!!You are welcome :)
    Well i have further discussion on this ....this option is great if you doing in staging or development area. What if your doing in prod?? If you automating the sqlloader then how do u do it?? I think we still need to stick with traditional approach of laoding data by making use of SQLLoader right ?? If m wrong please correct me.well, in our case, we do have access to a custom schema in prod where we create the staging table and load the data from datafiles.
    try this:
    load data
    infile 'C:\dest.csv'
    into table dest_table
    fields terminated by "~" optionally enclosed by '"'
    TRAILING NULLCOLS
    (name,
    owner_nm,
    description_column,
    UPDT_DT DATE 'MM/DD/YYYY')
    {code}
    you can get more info about sql loader and your error here:
    http://download.oracle.com/docs/cd/B10501_01/server.920/a96652/ch05.htm
    http://www.allinterview.com/showanswers/53766.html
    And one more quick question ...i found an example of control file , in that i see .dat format file. Is it a data file ?? can i try that option ?? But in excel i didnt see to convert the .dat format file.
    Any thoughts ???
    It is same as a delimiter text file.
    steps to create a .dat file (from a excel file):
    1. Insert a column between two columns and populate it with the delimiter (in our case, it is ~)
    2. Save the file as unicode text.
    3. Open the file in text editor and remove all the tabs (find an replace with blank)
    4. Save the file as "DEST.dat". Select encoding as UTF-8 while saving.
    5. Your .dat file is ready.
    Regards
    Imran
    Edited by: Imran Soudagar on Apr 22, 2010 10:22 PM                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                   

  • Has anyone been successful importing h.264 into Encore?

    First, my system and goal:
    A MacPro with 2.66mHz dual core and 12 gigs of RAM.
    Multi Terrabytes of space.
    I shoot on a Panasonic HVX-200, edit in Final Cut Pro and output Quicktime files as the basis for rendering to DVD and Blu-ray. I shot this stuff in 1080i. The camera codec is DVCPROHD and that is what I output to also.
    I am trying to burn Blu-ray discs. I have an external Pioneer BDR-203 Blu-ray burner and it works fine.
    I have done my tests and have decided that for Blu-ray, h.264 is far superior to mpeg2 in look and file size so I am sticking with it.
    Here is the issue (and I have scoured this forum area and found no one discussing or solving this issue to date):
    I have made hundreds of different DVDs with DVDStudioPro and am very familiar with it. Not to get off on a tangent but my beloved Apple has decided to betray me by not supporting Blu-ray (the ONLY way to output HD for us independents) so I studied up on Encore and have been using it to format my latest project to HD. The interface works pretty nice and even has some superiority to DVDSP but you have to have the patience of JOB to see your results. 5 Day (Remember. That's 24 Hour Days) Rendering is my tops so far. (OK. Full Disclosure... the documentary is 3 hours and 15 minutes long BUT DVDStudioPro took the same Quicktime files and crunched them down to mpeg2 for the DVD in about a day) Anyway about 6 days ago I had a 3 day render session that crapped out on me as it was finally recording to disc. For some reason it didn't like the BD-RE disc I had in the machine and just bagged the whole process. When I put in a new disc it just started rendering All Over Again. After that debacle I gave up on that method and now am rendering to BDMV folders which I then burn to disc using Toast. (While I am writing this my fingers are crossed that it will make it to the end - it's been another 3 days...)
    I provide the details above to show why I am more than dismayed that I cannot figure any way to import h.264 files INTO Encore from another renderer. Either Compressor or Encoder render much faster than Encore. So I have tried render my project to a variety of output types from both Apple's Compressor and Adobe's Encoder with no joy any way I try.
    (as a side note I am also dismayed that when I made a change to a MENU, Encore decided to encode The Whole Project Again rather than just the menu part...)
    One of my latest file testing adventures was based on a theory that the problem has to do with DVCPROHD recording to 1280 x 1080 anamorphic rather than 1440 x 1080 (the "expected" frame size for 1080i). I fooled with that a half day but could not get either renderer to generate any test material from my FCP output that Encore would burn without re-rendering. By that I mean I cajoled and tricked Compressor and Encoder to output 1920 x 1080 files; 1440 x 1080 files and 1280 x 1080 files (all h.264) to see if Encore would recognize any of them without re-rendering them. No Luck.
    So. Has anyone had success importing h.264 into CS4Encore from anywhere (but particularly using the same or similar gear I am using)? The Encore literature really doesn't give you much to go oon.
    Lately I am very inclined to think the problem has something to do with this 1280 x 1080 DVCPROHD issue but I can't say for sure. I offer that as a suggestion if anyone else with a similar production stream is having the same problem and maybe it will trip a switch in their mind for a solution.
    I like the Encore interface and design possibilities you have by swinging back and forth from PShop and After Effects but using Encore's renderer is like Chinese Water Torture.
    Thanks for your help.

    Brian,
    I got your test file into Encore and got Encore to show its Blu-ray transcode status as "Don't Transcode".
    Screenshots:
    PrCS4 Export settings:
    EnCS4 Project Panel:
    Since it's hard to make out the En Project panel, I'll attach the same image to another message.
    -Jeff

  • Newbie mistake, but I can't find it, help appreciated on XML import

    I'm sure I'm making a newbie mistake but I can't find it. If someone could tell me what I'm doing wrong I would appreciate it.
    Step one works (i'm logged as user xdb on the database):
    SQL*Plus: Release 10.1.0.2.0 - Production on Wed Dec 20 13:47:53 2006
    Copyright (c) 1982, 2004, Oracle. All rights reserved.
    Connected to:
    Oracle Database 10g Enterprise Edition Release 10.2.0.2.0 - 64bit Production
    With the Partitioning, OLAP and Data Mining options
    SQL> Declare
    2 ignore boolean;
    3 begin
    4 ignore :=dbms_xdb.createFolder('/datamart');
    5 ignore :=dbms_xdb.createFolder('/datamart/CustomerCare');
    6 ignore :=dbms_xdb.createFolder('/datamart/CustomerCare/xsd');
    7 ignore :=dbms_xdb.createFolder('/datamart/CustomerCare/xml');
    8 commit ;
    9 end;
    10 /
    PL/SQL procedure successfully completed.
    Step two works, where I drag and drop via Windows Explorer my xsd file into http://d01db:8085/datamart/CustomerCare/xsd. It has a size of about 7k or so. Again, when connecting to the respository with Windows Explorer, I'm logging in as xdb.
    Step three works, where I register the schema that I just put into the XML repository. Still logged into SQLPlus as xdb.
    SQL> begin
    2 dbms_xmlschema.registerURI
    3 (schemaURL => 'http://xmlns.oracle.com/xdb/Steton.xsd'
    4 ,schemaDocURI => '/datamart/CustomerCare/xsd/Steton.xsd'
    5 ,genTables => true
    6 ) ;
    7 end;
    8 /
    PL/SQL procedure successfully completed.
    SQL> describe STETONAUDITRESULTS;
    Name Null? Type
    TABLE of SYS.XMLTYPE(XMLSchema "http://xmlns.oracle.com/xdb/Steton.xsd" Element "StetonAuditResults"
    SQL>
    By the way, here is the schema:
    <?xml version="1.0" encoding="utf-8"?>
    <xs:schema xmlns="" xmlns:xs="http://www.w3.org/2001/XMLSchema" xmlns:xdb="http://xmlns.oracle.com/xdb" id="StetonAuditResults" xdb:storeVarrayAsTable="true">
         <xs:element name="StetonAuditResults" xdb:defaultTable="STETONAUDITRESULTS">
              <xs:complexType>
                   <xs:sequence>
                        <xs:element name="Control">
                             <xs:complexType>
                                  <xs:sequence>
                                       <xs:element name="ResultCount" type="xs:int"/>
                                  </xs:sequence>
                             </xs:complexType>
                        </xs:element>
                        <xs:element name="AuditResults">
                             <xs:complexType>
                                  <xs:choice maxOccurs="unbounded">
                                       <xs:element name="AuditResult">
                                            <xs:complexType>
                                                 <xs:sequence>
                                                      <xs:element name="AuditResultGlobalID" type="xs:string" minOccurs="0"/>
                                                      <xs:element name="AuditGlobalID" type="xs:string" minOccurs="0"/>
                                                      <xs:element name="AuditName" type="xs:string" minOccurs="0"/>
                                                      <xs:element name="AccountID" type="xs:string" minOccurs="0"/>
                                                      <xs:element name="AccountName" type="xs:string" minOccurs="0"/>
                                                      <xs:element name="AuditorID" type="xs:string" minOccurs="0"/>
                                                      <xs:element name="AuditorName" type="xs:string" minOccurs="0"/>
                                                      <xs:element name="AcctRepName" type="xs:string" minOccurs="0"/>
                                                      <xs:element name="AuditTypeID" type="xs:int" minOccurs="0"/>
                                                      <xs:element name="AuditTypeName" type="xs:string" minOccurs="0"/>
                                                      <xs:element name="DivisionID" type="xs:int" minOccurs="0"/>
                                                      <xs:element name="FaxBack" type="xs:string" minOccurs="0"/>
                                                      <xs:element name="EMailBack" type="xs:string" minOccurs="0"/>
                                                      <xs:element name="StartDateLocal" type="xs:dateTime" minOccurs="0"/>
                                                      <xs:element name="StartDateUTC" type="xs:dateTime" minOccurs="0"/>
                                                      <xs:element name="EndDate" type="xs:dateTime" minOccurs="0"/>
                                                      <xs:element name="UploadDate" type="xs:dateTime" minOccurs="0"/>
                                                      <xs:element name="ProcessDate" type="xs:dateTime" minOccurs="0"/>
                                                      <xs:element name="ApplicationVersion" type="xs:string" minOccurs="0"/>
                                                      <xs:element name="AuditResultNotes" type="xs:string" minOccurs="0"/>
                                                      <xs:element name="AuditorSignature" type="xs:base64Binary" minOccurs="0"/>
                                                      <xs:element name="AcctRepSignature" type="xs:base64Binary" minOccurs="0"/>
                                                      <xs:element name="InitialAuditResultGlobalID" type="xs:string" minOccurs="0"/>
                                                      <xs:element name="RecordType" type="xs:string" minOccurs="0"/>
                                                      <xs:element name="ModifiedDate" type="xs:dateTime" minOccurs="0"/>
                                                      <xs:element name="CategoryResults">
                                                           <xs:complexType>
                                                                <xs:choice minOccurs="0" maxOccurs="unbounded">
                                                                     <xs:element name="CategoryResult">
                                                                          <xs:complexType>
                                                                               <xs:sequence>
                                                                                    <xs:element name="AuditResultGlobalID" type="xs:string"/>
                                                                                    <xs:element name="CategoryGlobalID" type="xs:string" minOccurs="0"/>
                                                                                    <xs:element name="CategoryName" type="xs:string" minOccurs="0"/>
                                                                                    <xs:element name="CategoryReference" type="xs:string" minOccurs="0"/>
                                                                                    <xs:element name="CategoryResultNotes" type="xs:string" minOccurs="0"/>
                                                                                    <xs:element name="QuestionResults">
                                                                                         <xs:complexType>
                                                                                              <xs:choice minOccurs="0" maxOccurs="unbounded">
                                                                                                   <xs:element name="QuestionResult">
                                                                                                        <xs:complexType>
                                                                                                             <xs:sequence>
                                                                                                                  <xs:element name="AuditResultGlobalID" type="xs:string"/>
                                                                                                                  <xs:element name="CategoryGlobalID" type="xs:string" minOccurs="0"/>
                                                                                                                  <xs:element name="QuestionGlobalID" type="xs:string" minOccurs="0"/>
                                                                                                                  <xs:element name="QuestionText" type="xs:string" minOccurs="0"/>
                                                                                                                  <xs:element name="QuestionReference" type="xs:string" minOccurs="0"/>
                                                                                                                  <xs:element name="ChoiceGlobalID" type="xs:string" minOccurs="0"/>
                                                                                                                  <xs:element name="ChoiceText" type="xs:string" minOccurs="0"/>
                                                                                                                  <xs:element name="ChoicePointsPossible" type="xs:double" minOccurs="0"/>
                                                                                                                  <xs:element name="ChoicePointsEarned" type="xs:double" minOccurs="0"/>
                                                                                                                  <xs:element name="QuestionResultNotes" type="xs:string" minOccurs="0"/>
                                                                                                                  <xs:element name="QuestionCommentResults">
                                                                                                                       <xs:complexType>
                                                                                                                            <xs:choice minOccurs="0" maxOccurs="unbounded">
                                                                                                                                 <xs:element name="QuestionCommentResult">
                                                                                                                                      <xs:complexType>
                                                                                                                                           <xs:sequence>
                                                                                                                                                <xs:element name="AuditResultGlobalID" type="xs:string"/>
                                                                                                                                                <xs:element name="CategoryGlobalID" type="xs:string" minOccurs="0"/>
                                                                                                                                                <xs:element name="QuestionGlobalID" type="xs:string" minOccurs="0"/>
                                                                                                                                                <xs:element name="QuestionCommentGlobalID" type="xs:string" minOccurs="0"/>
                                                                                                                                                <xs:element name="QuestionCommentText" type="xs:string" minOccurs="0"/>
                                                                                                                                                <xs:element name="QuestionCommentResultNotes" type="xs:string" minOccurs="0"/>
                                                                                                                                           </xs:sequence>
                                                                                                                                      </xs:complexType>
                                                                                                                                 </xs:element>
                                                                                                                            </xs:choice>
                                                                                                                       </xs:complexType>
                                                                                                                  </xs:element>
                                                                                                             </xs:sequence>
                                                                                                        </xs:complexType>
                                                                                                   </xs:element>
                                                                                              </xs:choice>
                                                                                         </xs:complexType>
                                                                                    </xs:element>
                                                                               </xs:sequence>
                                                                          </xs:complexType>
                                                                     </xs:element>
                                                                </xs:choice>
                                                           </xs:complexType>
                                                      </xs:element>
                                                 </xs:sequence>
                                            </xs:complexType>
                                       </xs:element>
                                  </xs:choice>
                             </xs:complexType>
                        </xs:element>
                   </xs:sequence>
              </xs:complexType>
              <xs:key name="StetonAuditResultsKey_AuditResult">
                   <xs:selector xpath=".//AuditResult"/>
                   <xs:field xpath="AuditResultGlobalID"/>
              </xs:key>
              <xs:key name="StetonAuditResultsKey_CategoryResult">
                   <xs:selector xpath=".//CategoryResult"/>
                   <xs:field xpath="AuditResultGlobalID"/>
                   <xs:field xpath="CategoryGlobalID"/>
              </xs:key>
              <xs:key name="StetonAuditResultsKey_QuestionResult">
                   <xs:selector xpath=".//QuestionResult"/>
                   <xs:field xpath="AuditResultGlobalID"/>
                   <xs:field xpath="CategoryGlobalID"/>
                   <xs:field xpath="QuestionGlobalID"/>
              </xs:key>
              <xs:keyref name="AuditResultCategoryResult" refer="StetonAuditResultsKey_AuditResult">
                   <xs:selector xpath=".//CategoryResult"/>
                   <xs:field xpath="AuditResultGlobalID"/>
              </xs:keyref>
              <xs:keyref name="CategoryResultQuestionResult" refer="StetonAuditResultsKey_CategoryResult">
                   <xs:selector xpath=".//QuestionResult"/>
                   <xs:field xpath="AuditResultGlobalID"/>
                   <xs:field xpath="CategoryGlobalID"/>
              </xs:keyref>
              <xs:key name="StetonAuditResultsKey_QuestionCommentResult">
                   <xs:selector xpath=".//QuestionCommentResult"/>
                   <xs:field xpath="AuditResultGlobalID"/>
                   <xs:field xpath="CategoryGlobalID"/>
                   <xs:field xpath="QuestionGlobalID"/>
                   <xs:field xpath="QuestionCommentGlobalID"/>
              </xs:key>
              <xs:keyref name="QuestionResultlQuestionCommentResult" refer="StetonAuditResultsKey_QuestionResult">
                   <xs:selector xpath=".//QuestionCommentResult"/>
                   <xs:field xpath="AuditResultGlobalID"/>
                   <xs:field xpath="CategoryGlobalID"/>
                   <xs:field xpath="QuestionGlobalID"/>
              </xs:keyref>
         </xs:element>
    </xs:schema>
    Step four is where I have problems. I'm using Windows Explorer to drag and drop an XML instance document into http://d01db:8085/datamart/CustomerCare/xml while logged into the repository as xdb.
    Here's some of the test file that I'm using.
    <?xml version="1.0" standalone="no"?>
    <StetonAuditResults xmlns:xdb="http://xmlns.oracle.com/xdb" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:noNamespaceSchemaLocation="http://xmlns.oracle.com/xdb/Steton.xsd">
         <Control>
              <ResultCount>112</ResultCount>
         </Control>
    </StetonAuditResults>
    Note, the test XML file is 427k in size and I can't post it here. There is a lot of data between the </Control> tag and the </StetonAuditResults> tag.
    During the Drag and Drop I get the message "An error occured copying some or all of the selected files."
    My first suspicision was that the XML document failed validation. So I changed the namespace line to
    <StetonAuditResults xmlns:xdb="http://xmlns.oracle.com/xdb" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:noNamespaceSchemaLocation="H:\StetonCustomerCare\Steton.xsd">
    I then started Altova's XMLSpy and the XML document is well formed and it validates.
    I then changed the namespace line in the XML document to
    <StetonAuditResults xmlns:xdb="http://xmlns.oracle.com/xdb" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance">
    and I could use Windows Explorer to drag and drop the file into the XML DB respository of http://d01db:8085/datamart/CustomerCare/xml, but this version doesn't shred the XML document into the SQL tables that I need.
    I then deleted the file from the repository.
    I then changed the namespace line in the XML document to <StetonAuditResults xmlns:xdb="http://xmlns.oracle.com/xdb" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:noNamespaceSchemaLocation="Steton.xsd">
    because someone told me to, and because I have seen an FAQ in this forum suggest this approach. I can copy using Windows Explorer into the http://d01db:8085/datamart/CustomerCare/xml. However, the file size via Windows Explorer is 427kb. Also "select count(*) from STETONAUDITRESULTS;" returns zero.
    What am I doing wrong?
    My background, I'm not an Oracle DBA, but I can code SQL select statements. So if I need to do something involving Oracle DBA privileges, please document it well. I will have to get the DBA's involved.
    My goal is import this XML file so that I can use it as a feed for a datamart. The first XML file in text format is 27mb.
    Thanks again for reading this far.

    I guess you have a namespace issue...(schema registered is not the same as the layout in your XML instance/doc, therefor will not be inserted). You have access to the XDB account...thats all you need (more then enough, i mean, you don't need dba privs).
    on the FAQ Mark explains...
    Why is the size of my XML document 0 bytes when viewed via HTTP or FTP ?
    Posted: Sep 1, 2006 6:07 AM in response to: mdrake in response to: mdrake      
    When a schema based XML is loaded into the XML DB repository via HTTP, FTP or dbms_xdb.createResource() the document is converted from a textual serialization of XML into a series of objects. At this point the size of the document becomes (a) meaningless and (b) difficult / expensive to calculate.
    The first question is what is meant by the size of the document once it has been stored using object-based persistence ? There are two possibilities
    (1) The number of bytes used the text serialization of the XML document.
    (2) The number of bytes required to store the internal object representation of the document. In this case the does the size include the bytes used for keys, refs indexes, etc ?
    Since (1) would be expensive to maintain as the document is updated (particularly in the case of partial updates) and (2) is expensive to calculate on a document by document basis, XML DB shows the size of all schema based XML documents as 'zero' bytes.
    Note that this also applies to the size of the registered version of XML Schema documents, which can be found in the folder tree /sys/schemas.
    If a schema based XML document is loaded into the repository and does not appear as 0 bytes long when viewed via HTTP or WebDAV this means that XML DB was unable to identifiy the XML schema the XML document is associated with.
    Note that immediatlely after uploading a document in Windows Explorer using the WebDAV protocol the size of the document will be non-zero, since the original size is cached by the Microsoft WebDAV client. However once a refresh of the folder is performed in Windows Explorer the size should be shown as zero if the document was recognized as a schema based document.

  • How to import Verilog codes into LabVIEW FPGA?

    I tried to import Verilog code by instantiation followed by the instruction in http://digital.ni.com/public.nsf/allkb/7269557B205B1E1A86257640000910D3, 
    but still I can see some errors while compiling the VI file.
    Simple test Verilog file is as follows:
    ==============================
    module andtwobits (xx, yy, zz);
    input xx, yy;
    output reg zz;
    always @(xx,yy) begin
    zz <= xx & yy;
    end
    endmodule
    ==============================
    and after following up the above link, we created the instantiation file as
    ==============================================
    library ieee;
    use ieee.std_logic_1164.all;
    entity mainVHDL is
    port(
    xxin: in std_logic;
    yyin: in std_logic;
    zzout: out std_logic
    end mainVHDL;
    architecture mainVHDL1 of mainVHDL is
    COMPONENT andtwobits PORT (
    zz : out std_logic;
    xx : in std_logic;
    yy : in std_logic);
    END COMPONENT;
    begin
    alu : andtwobits port map(
    zz => zzout,
    xx => xxin,
    yy => yyin);
    end mainVHDL1;
    ==============================================
    Sometimes, we observe the following error when we put the indicator on the output port,
    ERROR:ConstraintSystem:58 - Constraint <INST "*ChinchLvFpgaIrq*bIpIrq_ms*" TNM =
    TNM_ChinchIrq_IpIrq_ms;> [Puma20Top.ucf(890)]: INST
    "*ChinchLvFpgaIrq*bIpIrq_ms*" does not match any design objects.
    ERROR:ConstraintSystem:58 - Constraint <INST "*ChinchLvFpgaIrq*bIpIrq*" TNM =
    TNM_ChinchIrq_IpIrq;> [Puma20Top.ucf(891)]: INST "*ChinchLvFpgaIrq*bIpIrq*"
    does not match any design objects.
    and interestingly, if we remove the indicator from the output port, it sucessfully compiles on the LabVIEW FPGA.
    Could you take a look at and please help me to import Verilog to LabVIEW FPGA?
    I've followed the basic steps of instantiation on the above link, but still it won't work.
    Please find the attachment for the all files.
    - andtwobits.v : original Verilog file
    - andtwobits.ngc: NGC file
    - andtwobits.vhd: VHD file after post-translate simulation model
    - mainVHDL.vhd: instantiation main file
    Since there is no example file for Verilog (there is VHDL file, but not for Verilog), it is a bit hard to do the simple execution on LabVIEW FPGA even for the examples.
    Thank you very much for your support, and I'm looking forward to seeing your any help/reply as soon as possible.
    Bests,
    Solved!
    Go to Solution.
    Attachments:
    attach.zip ‏57 KB

    Hi,
    I am facing problem in creating successfully importing  VHDL wrapper file for a Verilog module,into LabVIEW FPGA using CLIP Node method. Please note that:
    I am working on platform SbRIO-9606.
    Labiew version used is 2011 with Xilinx 12.4 compiler tools
    NI RIO 4.0 is installed
    Xilinx ISE version installed in PC is also 12.4 webpack ( Though I used before Xilinx 10.1 in PC for generating .ngc file for verilog code FOR SbRIO 9642 platform, but problem remains same for both versions)
    Query1. Which versions of Xilinx ISE (to be installed in PC for generating .ngc file) are compatible with Labview 2011.1(with Xilinx 12.4 Compiler tools)? Can any version be used up to 12.4?
    Initially I took a basic and gate verilog example to import into LabVIEW FPGA i.e. simple_and.v and its corresponding VHDL file is SimpleAnd_Wrapper.vhd
    ///////////////// Verilog code of “simple_and.v”//////////////////////
    module simple_and(in1, in2, out1);
       input in1,in2;
       output reg out1;
       always@( in1 or in2)
       begin
          out1 <= in1 & in2;
       end
    endmodule
    /////////////////VHDL Wrapper file code of “SimpleAnd_Wrapper.vhd” //////////////////////
    LIBRARY ieee;
    USE ieee.std_logic_1164.ALL;
    ENTITY SimpleAnd_Wrapper IS
        port (
            in1    : in std_logic;
            in2    : in std_logic;
            out1   : out std_logic
    END SimpleAnd_Wrapper;
    ARCHITECTURE RTL of SimpleAnd_Wrapper IS
    component simple_and
       port(
             in1    : in std_logic;
             in2    : in std_logic;
             out1   : out std_logic
    end component;
    BEGIN
    simple_and_instant: simple_and
       port map(
                in1 => in1,
                in2 => in2,
                out1 => out1
    END RTL;
    Documents/tutorials followed for generating VHDL Wrapper file for Verilog core are:
    NI tutorial “How do I Integrate Verilog HDL with LabView FPGA module”. Link is http://digital.ni.com/public.nsf/allkb/7269557B205B1E1A86257640000910D3
    In this case, I did not get any vhdl file after “post-translate simulation model step” in netlist project using simple_and.ngc file previously generated through XST. Instead I got was simple_and_translate.v.
    Query2. Do I hv to name tht “v” file into “simple_and.vhd”?? Anyways it did not work both ways i.e. naming it as “simple_and with a “v” or “vhd” extension. In end I copied that “simple_and.v” post translate model file, “simple_and.ngc”, and VHDL Wrapper file “SimpleAnd_Wrapper.vhd” in the respective labview project directory.
    Query3. The post-translate model file can  also be generated by implementing verilog simple_and.v  file, so why have to generate it by making a separate netlist project using “simple_and.ngc” file? Is there any difference between these two files simple_and_translate.v generated through separate approaches as I mentioned?
    2. NI tutorial “Using Verilog Modules in a Component-Level IP Design”. Link is https://decibel.ni.com/content/docs/DOC-8218.
    In this case, I generated only “simple_and.ngc” file by synthesizing “simple_and.v “file using Xilinx ISE 12.4 tool. Copied that “simple_and.ngc” and “SimpleAnd_Wrapper.vhd” file in the same directory.
    Query4. What is the difference between this method and the above one?
    2. I followed tutorial “Importing External IP into LABVIEW FPGA” for rest steps of creating a CLIP, declaring it and passing data between CLIP and FPGA VI. Link is http://www.ni.com/white-paper/7444/en. This VI executes perfectly on FPGA for the example”simple_and.vhd” file being provided in this tutorial.
    Compilation Errors Warnings received after compiling my SimpleAnd_Wrapper.vhd file
    Elaborating entity <SimpleAnd_Wrapper> (architecture <RTL>) from library <work>.
    WARNING:HDLCompiler:89"\NIFPGA\jobs\WcD1f16_fqu2nOv\SimpleAnd_Wrapper.vhd"    Line 35: <simple_and> remains a black-box since it has no binding entity.
    2. WARNING:NgdBuild:604 - logical block 'window/theCLIPs/Component_ dash_Level _IP_ CLIP0/simple_and_instant' with type   'simple_and' could not be resolved. A pin name misspelling can cause this, a missing edif or ngc file, case mismatch between the block name and the edif or ngc file name, or the misspelling of a type name. Symbol 'simple_and' is not supported in target 'spartan6'.
    3. ERROR:MapLib:979 - LUT6 symbol   "window/theVI/Component_dash_Level_IP_bksl_out1_ind_2/PlainIndicator.PlainInd icator/cQ_0_rstpot" (output signal=window/theVI/ Component_dash_Level _IP_bksl_out1_ ind_2/PlainIndicator.PlainIndicator/cQ_0_rstpot) has input signal "window/internal_Component_dash_Level_IP_out1" which will be trimmed. SeeSection 5 of the Map Report File for details about why the input signal willbecome undriven.
    Query5. Where lays that “section5” of map report? It maybe a ridiculous question, but sorry I really can’t find it; maybe it lays in xilnx log file!
    4. ERROR:MapLib:978 - LUT6 symbol  "window/theVI/Component_dash_Level_IP_bksl_ out1_ind_2/PlainIndicator.PlainIndicator/cQ_0_rstpot" (output signal= window / theVI/Component_dash_Level_IP_bksl_out1_ind_2/PlainIndicator.PlainIndicator/ cQ_0_rstpot) has an equation that uses input pin I5, which no longer has a connected signal. Please ensure that all the pins used in the equation for this LUT have signals that are not trimmed (see Section 5 of the Map Report File for details on which signals were trimmed). Error found in mapping process, exiting.Errors found during the mapping phase. Please see map report file for more details.  Output files will not be written.
    Seeing these errors I have reached the following conclusions.
    There is some problem in making that VHDL Wrapper file, LabVIEW does not recognize the Verilog component instantiated in it and treat it as unresolved black box.
    Query6. Is there any step I maybe missing while making this VHDL wrapper file; in my opinion I have tried every possibility in docs/help available in NI forums?
    2. Query7. Maybe it is a pure Xilinx issue i.e. some sort of library conflict as verilog module is not binding to top VHDL module as can be seen from warning HDLCompiler89. If this is the case then how to resolve that library conflict? Some hint regarding this expected issue has been given in point 7 of tutorial “How do I Integrate Verilog HDL with LabView FPGA module”. http://digital.ni.com/public.nsf/allkb/7269557B205B1E1A86257640000910D3. But nothing has been said much about resolving that issue.  
    3. Because of this unidentified black box, the whole design could not be mapped and hence could not be compiled.
    P.S.
    I have attached labview project zip folder containing simple_translate.v, simple_and_verilog.vi file,SimpleAnd_Wrapper.xml,  Xilinx log file after compilation alongwith other files. Kindly analyze and help me out in resolving this basic issue.
    Please note that I have made all settings regarding:
    Unchecked add I/O buffers option in XST of Xilinx ISE 12.4 project
    Have set “Pack I/O Registers into IOBs” to NO in XST properties of project.
    Synchronization registers are also set to zero by default of all CLIP I/O terminals.
    Please I need speedy help.Thanking in you in anticipation.
    Attachments:
    XilinxLog.txt ‏256 KB
    labview project files.zip ‏51 KB

  • Creating or importing a table into Mail

    I have searched and found a few threads with this same question. The problem is, there is no answer in any of the threads!
    Can someone help me figure out how to either create or import a table into a Mail message?
    Thanks for any advice provided.

    I don't think you can create a table directly in Mail. Mail html capabilities are fairly pathetic. The only way I know how to do it is to create an html file using some html editor, put your table there. then open that file in Safari copy the table there and paste it into a message composition window.
    Message was edited by: V.K.

  • I can't import any songs into iTunes.

    I can't import any songs into iTunes. I can drag and drop but nothing will happen. Songs show up when a search is done but songs cannot be played or located in iTunes. I think this has something to do with the recent update because I have songs imported into iTunes from December.

    I found the problem. I have VMware fusion that is hosting Window XP on the iMac. When the fusion is running the CD player is not seen in OS. By quitting Fusion I can now insert a CD and the disk icon appears on the OS screen. Now I can bring up iTunes and import the audio and continue as before. The one exception is I cannot bring in a photo of the album cover as before I have to drag the photo out of iPhoto and onto the desk top. Then I can drag it into the artwork patch in the iTunes/ info window.

  • Can't import an application into HTMLDB.

    I cannot import an application into HTMLDB. I have tried small applcations and even the sample application that comes with HTMLDB. The apache logs give me the error 'HTTP-404 ORA-00904: "CONTENT": invalid identifier' no matter what I try to import. This installation of HTMLDB is installed on a 10g database (10.1.3) and is using the Apache server from a 10gAS installation (9.0.4). We have several htmldb installations on 9.2.0.4 databases using both 10gAS(9.0.4) Apache and 9.0.3 Apache. This is the only installation that gives us any problem. All of our HTMLDB installations are 1.6.1.00.02. There are no invalids in the flows_01600 schema. Any ideas on how to resolve this issue.

    I have created a dad on 9.0.3, and the import works. The dad in both are identical to what I can see. The 10gAS Apache logs has a preceding error message, here is the complete error messesages generated by the 10gAS Apache server when I try to import an application :
    [Mon Jun 13 08:58:13 2005] [warn] [client 172.22.101.206] [ecid: 1118671093:172.23.5.52:11116:0:1608,0] mod_plsql: DMS Logging: Unable to open/create /modplsql.lck
    [Mon Jun 13 08:58:13 2005] [error] [client 172.22.101.206] [ecid: 1118671093:172.23.5.52:11116:0:1608,0] mod_plsql: /astech/wwv_flow.accept
    HTTP-404 ORA-00904: "CONTENT": invalid identifier
    I have searched metalink for anyting on the "modplsql.lck" error and have not found anything.

  • Can't import any images into iPhoto

    Hello,
    I am on my honeymoon and trying to offload pictures from my camera but have found that I can not import any pictures into iPhoto. I am unable to import any file, not just the ones on my camera. I have tried jpgs, gifs, and pngs from various sources and none of them import.
    So far I have tried holding down option and creating a new library, deleting the plists, rebooting, and reapplying the latest iPhoto update. Does anyone else have suggestions for me to try?

    Download iPhoto Library Manager and use its rebuild function. This will create a new library based on data in the albumdata.xml file. Not everything will be brought over - no slideshows, books or calendars, for instance - but it should get all your albums and keywords back.
    Because this process creates an entirely new library and leaves your old one untouched, it is non-destructive, and if you're not happy with the results you can simply return to your old one.  

  • When trying to import a cd into iTunes

    When I import a CD into iTunes,
    after a few songs, the download freezes and my computer no longer recognises my drive d.
    The D drive (DVD/CD rom) seems to have disappeared.
    Since the computer does not know that I have a D drive, the DVD/CD rom will not work.

    Ok, obviously no one has a definite answer for this, but I found several different suggestions on the net. I tried all of them, and none of them have worked for me. So I still cannot import CDs with iTunes. Instead, I am now importing CD's with Windows Media Player, which works just fine, then adding all of them seperately to iTunes so I can have the music on my iPod. it takes less time to transfer this way, than to force quit multiple ties over several hours to maybe get one CD imported.
    In case the suggestions of others work for you, I did a google search on "iTunes freezes when importing CDs"- it brought up a lot of pages, with a lot more complaints than answers , but the easiest "fix" was to turn off error correction in your prefences. Since I never had error correction turned on, that didn't help me. The other most cited "fix" was the following link on Apple: http://support.apple.com/kb/TS1421 . Though I never get an error message (iTunes just freezes), I tried it anyway. Still no success.
    I hope this helps some!

Maybe you are looking for

  • Bootcamp and windows

    hey i want to install windows xp on my mac and when i try partitioning the disk for a 10GB windows space, it tells me that it cant't partition because "some files can't be moved". it says i need to run disk utility and partition/format my HD as a sin

  • ME6H - Vendor Evaluation Analysis

    Hi Guru´s,                 Im running the report "Vendor Evaluation Analysis". The system show me some columns with Key figures. I would like to Know if it is possible to change the name of the Key figures becuase it seems like technic name, and I wa

  • How to change Appl ID in iPhone4

    how to change Appl ID in iPhone4 <Email Edited By Host>

  • Setting up a LUKS partition to use a USB key

    Im playing with an old netbook I've been given, essentially practicing for when I get a new desktop. Anyway, I want to install Arch on a LUKS encrypted partition and have it unlocked at boot time using a key located on a USB key. This is what I did f

  • OO4O Localization

    I'm accessing an Oracle 9i Database (US Version), NLS_TERRITORY=US from MS Visual C++ using Oracle OLE Objects (for C++). I've a real strange problem with number formats. I'm Using a German Windows XP on my client, and an english version of OO4O (are