Test of design with SDK.

Hi ,
I did a design in vivado for a Bandpass Filter in Vivado . Now i want to test it in the ZedBoard with SDK.
i creat a customer block of this Filter . you can see the code in the attachments :
 the “fir.vhd” file has been integrated into the hierarchy because i have instantiated it from within the peripheral.
this is the added lines in fir_v1_0_S00_AXI.vhd:
   signal ergebnis : std_logic_vector(18 downto 0);  
    component FIRfilter  
    port (  
      clk: in std_logic;  
      x: in std_logic_VECTOR(15 downto 0);   
      y: out std_logic_VECTOR(18 downto 0));  
    end component; 
fir_0 : FIRfilter
    port map (  
      clk => S_AXI_ACLK,   
      x => slv_reg0(15 downto 0),  
      y => ergebnis);
    -- User logic ends
now  i want to test it in sdk and i have always the problem that the c-code  which i read it give me always a false  output.  simple multplikation of the new given Inputvalue  x without shifting the  old value , which will be normally multiplied with the next coefficient by the adding of a the new x value .
this is the c code :
    #include "platform.h"
    #include "xbasic_types.h"
    #include "xparameters.h"
Xuint32 *baseaddr_p = (Xuint32 *)XPAR_FIR_0_S00_AXI_BASEADDR;
double eingang[20] = {1,2,3,2,2,1,4,3,4,8,7,9,10,1,4,5,6,7,8,9};
    int main()
    init_platform();
   int i;
   for(i=0;i<20;i++) {
   // write input in register 0
       *(baseaddr_p+0) = eingang[i];
    xil_printf("Wrote: 0x%08x \n\r", *(baseaddr_p+0));
    // Read  output from register 1
    xil_printf("Read : 0x%08x \n\r", *(baseaddr_p+1));
    xil_printf("End of test\n\n\r");
    return 0;
the result !!!:
please i need help.
thanks
houssem

check this document
http://impulseaccelerated.com/uploads/3/3/7/7/3377898/microblazetutorials.pdf

Similar Messages

  • Design Studio SDK: Eclipse fail to open Design Studio with error message

    Hi,
    Environment:
    BO 4.1 SP2
    Eclipse 4.3.2
    I am learning Design Studio SDK using the Developer Guide Tutorial available in help.sap.com. I am trying to deploy the sample SDK component.
    While I try to open Design Studio application from Eclipse, it throws below errors. Run As -> Eclipse Application.
    Please help!
    Thanks in Advance!
    -Jeni

    Hi Jenifer
    It looks to me as if the JAVA Home Variable is not set?
    To get to this in Windows 7 you need to right click on Computer and select properties
    Then click Advanced System Settings,  Then Click Environment Variables button,
    Have you got a JAVA_HOME Variable as per the attached screenshot? Please not this need to point to the Java folder on your PC

  • No problem with sdk 1.3- problem with 1.4!!!

    I am trying to make an interface using swing objects..
    The buttons are looking like images (2D) when compiling and running the program with sdk 1.4...
    although if I use 1.3 everything is ok??
    even with the new java version I still have the same problem..
    has anyone any idea how to fix this??
    thx in advance!

    /*                      BET                                 */
    import java.awt.*;
    import java.awt.event.*;
    import javax.swing.*;
      * Summary description for BET
    public class BET extends JFrame
         // Variables declaration
         private JLabel jLabel1;
         private JLabel jLabel2;
         private JLabel jLabel3;
         private JTextField jTextField1;
         private JTextField jTextField2;
         private JTextField jTextField3;
         private JTextField jTextField4;
         private JTextField jTextField6;
         private JButton jButton1;
         private JButton jButton2;
         private JPanel contentPane;
         // End of variables declaration
         public BET()
              super();
              initializeComponent();
              // TODO: Add any constructor code after initializeComponent call
              this.setVisible(true);
          * This method is called from within the constructor to initialize the form.
          * WARNING: Do NOT modify this code. The content of this method is always regenerated
          * by the Windows Form Designer. Otherwise, retrieving design might not work properly.
          * Tip: If you must revise this method, please backup this GUI file for JFrameBuilder
          * to retrieve your design properly in future, before revising this method.
         private void initializeComponent()
              jLabel1 = new JLabel();
              jLabel2 = new JLabel();
              jLabel3 = new JLabel();
              jTextField1 = new JTextField();
              jTextField2 = new JTextField();
              jTextField3 = new JTextField();
              jTextField4 = new JTextField();
              jTextField6 = new JTextField();
              jButton1 = new JButton();
              jButton2 = new JButton();
              contentPane = (JPanel)this.getContentPane();
              // jLabel1
              jLabel1.setForeground(new Color(59, 241, 227));
              jLabel1.setText("<HTML><B><U>QUESTION</B><HTML>");
              // jLabel2
              jLabel2.setForeground(new Color(50, 245, 113));
              jLabel2.setText("<HTML><B><U>CHOICE</B><HTML>");
              // jLabel3
              jLabel3.setForeground(new Color(50, 245, 113));
              jLabel3.setText("<HTML><B><U>POINTS</B><HTML>");
              // jTextField1
              jTextField1.addActionListener(new ActionListener() {
                   public void actionPerformed(ActionEvent e)
                        jTextField1_actionPerformed(e);
              // jTextField2
              jTextField2.setText("YES");
              jTextField2.addActionListener(new ActionListener() {
                   public void actionPerformed(ActionEvent e)
                        jTextField2_actionPerformed(e);
              // jTextField3
              jTextField3.setText("10");
              jTextField3.addActionListener(new ActionListener() {
                   public void actionPerformed(ActionEvent e)
                        jTextField3_actionPerformed(e);
              // jTextField4
              jTextField4.addActionListener(new ActionListener() {
                   public void actionPerformed(ActionEvent e)
                        jTextField4_actionPerformed(e);
              // jTextField6
              jTextField6.addActionListener(new ActionListener() {
                   public void actionPerformed(ActionEvent e)
                        jTextField6_actionPerformed(e);
              // jButton1
              jButton1.setBackground(new Color(117, 158, 145));
              jButton1.setText("OK");
              jButton1.addActionListener(new ActionListener() {
                   public void actionPerformed(ActionEvent e)
                        jButton1_actionPerformed(e);
              // jButton2
              jButton2.setBackground(new Color(117, 158, 145));
              jButton2.setText("CANCEL");
              jButton2.addActionListener(new ActionListener() {
                   public void actionPerformed(ActionEvent e)
                        jButton2_actionPerformed(e);
              // contentPane
              contentPane.setLayout(null);
              contentPane.setBackground(new Color(67, 97, 83));
              addComponent(contentPane, jLabel1, 172,8,60,18);
              addComponent(contentPane, jLabel2, 73,78,60,18);
              addComponent(contentPane, jLabel3, 211,76,60,18);
              addComponent(contentPane, jTextField1, 9,27,403,28);
              addComponent(contentPane, jTextField2, 24,101,134,22);
              addComponent(contentPane, jTextField3, 203,101,51,22);
              addComponent(contentPane, jTextField4, 21,151,137,22);
              addComponent(contentPane, jTextField6, 18,200,140,22);
              addComponent(contentPane, jButton1, 299,188,80,70);
              addComponent(contentPane, jButton2, 299,90,80,70);
              // BET
              this.setTitle("BET");
              this.setLocation(new Point(-2, 1));
              this.setSize(new Dimension(424, 316));
         /** Add Component Without a Layout Manager (Absolute Positioning) */
         private void addComponent(Container container,Component c,int x,int y,int width,int height)
              c.setBounds(x,y,width,height);
              container.add(c);
         // TODO: Add any appropriate code in the following Event Handling Methods
         private void jTextField1_actionPerformed(ActionEvent e)
              System.out.println("\njTextField1_actionPerformed(ActionEvent e) called.");
              // TODO: Add any handling code here
         private void jTextField2_actionPerformed(ActionEvent e)
              System.out.println("\njTextField2_actionPerformed(ActionEvent e) called.");
              // TODO: Add any handling code here
         private void jTextField3_actionPerformed(ActionEvent e)
              System.out.println("\njTextField3_actionPerformed(ActionEvent e) called.");
              // TODO: Add any handling code here
         private void jTextField4_actionPerformed(ActionEvent e)
              System.out.println("\njTextField4_actionPerformed(ActionEvent e) called.");
              // TODO: Add any handling code here
         private void jTextField6_actionPerformed(ActionEvent e)
              System.out.println("\njTextField6_actionPerformed(ActionEvent e) called.");
              // TODO: Add any handling code here
         private void jButton1_actionPerformed(ActionEvent e)
              System.out.println("\njButton1_actionPerformed(ActionEvent e) called.");
              // TODO: Add any handling code here
         private void jButton2_actionPerformed(ActionEvent e)
              System.out.println("\njButton2_actionPerformed(ActionEvent e) called.");
              // TODO: Add any handling code here
         // TODO: Add any method code to meet your needs in the following area
    //============================= Testing ================================//
    //=                                                                    =//
    //= The following main method is just for testing this class you built.=//
    //= After testing,you may simply delete it.                            =//
    //======================================================================//
         public static void main(String[] args)
              JFrame.setDefaultLookAndFeelDecorated(true);
              JDialog.setDefaultLookAndFeelDecorated(true);
              try
                   UIManager.setLookAndFeel("com.sun.java.swing.plaf.windows.WindowsLookAndFeel");
              catch (Exception ex)
                   System.out.println("Failed loading L&F: ");
                   System.out.println(ex);
              new BET();
    //= End of Testing =
    This is my code.. the problem is that when i use sdk 1.3 everything is fine... I tried to use 1.4 and now 5 and I have a problem with the appearance of buttons... I have some comments in my code. is just a form with some textfields and labels and the 2 buttons!
    thx in advance

  • I Always end up at an error page when I test my design!

    Greetings,
    Ladies and gentle men of the forum, does any one knows how I could fix this problem that I am having with the IIS server.
    When I enter http://localhost it will take me to the home page but when I open my web site, which I designed with Dreamweaver, and test the site to see how it will function I will end up at an error page showing message below the line.
    I also created site and testing server. Does any know how I could correct this problem so I could work safely? I am also using SQL server for database. If there any one have any idea on what I should do please contact me.
    The page cannot be found
    The page you are looking for might have been removed, had its name changed, or is temporarily unavailable.
    Please try the following:
    If you typed the page address in the Address bar, make sure that it is spelled correctly.
    Open the localhost home page, and then look for links to the information you want.
    Click the Back button to try another link.
    HTTP 404 - File not found
    Internet Information Services
    Technical Information (for support personnel)
    More information:
    Microsoft Support
    Thanks.
    Joplateau.
    Message was edited by: joplateau

    Isn't http://localhost your on premises server?  I wonder if you've actually uploaded your site to the www.?  A local host would be your build database and where you upload from, no?  You should have a database on the internet at a secure server consisting of: Host; User ID; Password; Directory.  Then, you upload to that server and then it's just a matter of accessing and testing the site via your URL.  Otherwise, you can always test away by accessing the localhost database somewhere on your premises.  This is how I see it.  If you are getting that error message, it means the database has moved and Dream can't find it.  Bummer, because when you do find it you will have to re-establish your links ( I think ).

  • Oracle 10g RAC design with ASM and OCFS

    Hi all,
    I have a question about a proposed Oracle 10g Release 2 RAC design for a 2 node cluster.
    ASM can store database files but not Oracle binaries nor OCR and voting disk. As such, OCFS version 1 does not support a shared Oracle Home. We plan to use OCFS version 2 with ASM version 2 on Red Hat Linux Enteprrise Server 4 with Oracle 10g Release 2 (10.2.0.1).
    For OCFS v2, a shared Oracle home and shared OCR and voting disk are supported. My question is does the following proposed architecture make sense for OCFS v2 with ASM v2 on Red Hat Linux 4?
    Oracle 10g Release 2 on Red Hat Enterprise Linux Server 4:
    OCFS V2:
    - shared Oracle home and binaries
    - shared OCR and vdisk files
    - CRS software shared OCFS v2 filesystem
    - spfile
    - controlfiles
    - tnsnames.ora
    ASM v2 with ASMLib v2:
    Proposed ASM disk groups:
    - data_dg for application data
    - backupdg for flashback and archivelogs
    - undo_rac1dg ASM diskgroup for undo tablespace for racnode1
    - undo_rac2dg ASM diskgroup for undo tablespace for racnode2
    - redo_rac1dg ASM diskgroup to hold redo logs for racnode1
    - redo_rac2dg ASM diskgroup to hold redo logs for racnode2
    - temp1dg temp tablespace for racnode1
    - temp2dg temp tablespace for racnode2
    Does this sound like a good initial design?
    Ben Prusinski, Senior DBA

    OK Tim, thanks for advices.
    I think Netbackup can be integrated with RMAN but I don't want to loose time on this (political).
    To summarize:
    ORACLE_HOME and CRS_HOME on each node (RAID1 and NTFS)
    Shared storage:
    Disk1 and disk 2: RAID1: - Raw partition 1 for OCR
    - Raw partition 2 for VotingDisk
    - OCFS for FLASH_RECOVERY_AREA
    Disk3, disk4 and disk5: RAID 0 - Raw with ASM redundancy normal 1 diskgroup for database files.
    This is a running project here, will start testing the design on VMware and then go for production setup.
    Regards

  • Unable to find com.businessobjects.mds.olap.protocol.ess_japi while exporting Design Studio SDK

    Hello,
    I am trying to export / package Design Studio SDK, i am able to test / run it successfilly in eclipse but, getting error "Unable to find: Installable Unit [ id=com.businessobjects.mds.olap.protocol.ess_japi version=14.0.0.201504131108 ]" while packaging design studio SDK. when i am exporting the project it is failing at 78% and getting the above error. PFA screen shoot for the same.
    Detailed Error:
    C:\Users\Saurav\workspace\SDK_Packaging\package.SDK_Packaging.group.group.group.xml:88: The following error occurred while executing this line:
    C:\Users\Saurav\workspace\SDK_Packaging\package.SDK_Packaging.group.group.group.xml:2369: Unable to find: Installable Unit [ id=com.businessobjects.mds.olap.protocol.ess_japi version=14.0.0.201504131108 ]
      at org.apache.tools.ant.ProjectHelper.addLocationToBuildException(ProjectHelper.java:551)
      at org.apache.tools.ant.taskdefs.Ant.execute(Ant.java:444)
      at org.apache.tools.ant.taskdefs.CallTarget.execute(CallTarget.java:105)
      at org.apache.tools.ant.UnknownElement.execute(UnknownElement.java:291)
      at sun.reflect.GeneratedMethodAccessor200.invoke(Unknown Source)
      at sun.reflect.DelegatingMethodAccessorImpl.invoke(DelegatingMethodAccessorImpl.java:25)
      at java.lang.reflect.Method.invoke(Method.java:597)
      at org.apache.tools.ant.dispatch.DispatchUtils.execute(DispatchUtils.java:106)
      at org.apache.tools.ant.Task.perform(Task.java:348)
      at org.apache.tools.ant.Target.execute(Target.java:392)
      at org.apache.tools.ant.Target.performTasks(Target.java:413)
      at org.apache.tools.ant.Project.executeSortedTargets(Project.java:1399)
      at org.apache.tools.ant.Project.executeTarget(Project.java:1368)
      at org.apache.tools.ant.helper.DefaultExecutor.executeTargets(DefaultExecutor.java:41)
      at org.eclipse.ant.internal.core.ant.EclipseDefaultExecutor.executeTargets(EclipseDefaultExecutor.java:32)
      at org.apache.tools.ant.Project.executeTargets(Project.java:1251)
      at org.eclipse.ant.internal.core.ant.InternalAntRunner.run(InternalAntRunner.java:672)
      at org.eclipse.ant.internal.core.ant.InternalAntRunner.run(InternalAntRunner.java:498)
      at sun.reflect.NativeMethodAccessorImpl.invoke0(Native Method)
      at sun.reflect.NativeMethodAccessorImpl.invoke(NativeMethodAccessorImpl.java:39)
      at sun.reflect.DelegatingMethodAccessorImpl.invoke(DelegatingMethodAccessorImpl.java:25)
      at java.lang.reflect.Method.invoke(Method.java:597)
      at org.eclipse.ant.core.AntRunner.run(AntRunner.java:378)
      at org.eclipse.pde.internal.core.exports.FeatureExportOperation.runScript(FeatureExportOperation.java:422)
      at org.eclipse.pde.internal.core.exports.FeatureExportOperation.doExport(FeatureExportOperation.java:285)
      at org.eclipse.pde.internal.core.exports.FeatureExportOperation.doExport(FeatureExportOperation.java:223)
      at org.eclipse.pde.internal.core.exports.FeatureExportOperation.run(FeatureExportOperation.java:107)
      at org.eclipse.core.internal.jobs.Worker.run(Worker.java:53)
    Caused by: C:\Users\Saurav\workspace\SDK_Packaging\package.SDK_Packaging.group.group.group.xml:2369: Unable to find: Installable Unit [ id=com.businessobjects.mds.olap.protocol.ess_japi version=14.0.0.201504131108 ]
      at org.eclipse.equinox.p2.internal.repository.tools.tasks.AbstractRepositoryTask.prepareIUs(AbstractRepositoryTask.java:186)
      at org.eclipse.equinox.p2.internal.repository.tools.tasks.MirrorTask.execute(MirrorTask.java:60)
      at org.apache.tools.ant.UnknownElement.execute(UnknownElement.java:291)
      at sun.reflect.GeneratedMethodAccessor200.invoke(Unknown Source)
      at sun.reflect.DelegatingMethodAccessorImpl.invoke(DelegatingMethodAccessorImpl.java:25)
      at java.lang.reflect.Method.invoke(Method.java:597)
      at org.apache.tools.ant.dispatch.DispatchUtils.execute(DispatchUtils.java:106)
      at org.apache.tools.ant.Task.perform(Task.java:348)
      at org.apache.tools.ant.Target.execute(Target.java:392)
      at org.apache.tools.ant.Target.performTasks(Target.java:413)
      at org.apache.tools.ant.Project.executeSortedTargets(Project.java:1399)
      at org.apache.tools.ant.helper.SingleCheckExecutor.executeTargets(SingleCheckExecutor.java:38)
      at org.eclipse.ant.internal.core.ant.EclipseSingleCheckExecutor.executeTargets(EclipseSingleCheckExecutor.java:30)
      at org.apache.tools.ant.Project.executeTargets(Project.java:1251)
      at org.apache.tools.ant.taskdefs.Ant.execute(Ant.java:442)
      ... 26 more
    Thanks

    Hello All,
    Thanks for giving time for this thread. I have solved this issue. Actually when we create Feature Project by default all Pugins and Fregemets will be added to featured project(i guess in some eclipse version since SAP has not mentained any Note for this), we need to delete unnecessary plugins from the featured project. Just select all and right click and delete. Then again add SAP Sample SDK.
    Even thought it will packaged properly and will create zip file and you will be able import it to the Design Studio  but you cann't select and install it to the Plateform from Design Studio, make sure for this you have Unchecked Ckeckbox: Unpack the plug-in archive after the installation.
    I think this will help.
    Thanks

  • Native Multi-VRF-Lite Design with EIGRP Question

    Hello,
    we think about to implement a VRF-Lite design (no MPLS and MBGP) in our campus network (10,000 ports, 20x 6500Sup720, 400x L2-Switches). MPLS is from our point of view oversized for our requirements. We need only a segmentation from different departments. Our IGP is eigrp.
    In the latest IOS-Release for the cat6500 (12.2.18SXD) is finally a VRF-Lite support for EIGRP inside.
    We could test successful a design with different VRFs in our lab, the division workes fine. But we didn't found a way to implement shared service. These are in our case DHCP, DNS, InternerAccess and some others. We thought about a redistribution between our global EIGRP routing table and the EIGRP-vrf tables, but we didn't found a way to do this.
    How can we do this?
    Thanks

    Use a crossover cable to connect a port belonging to the global routing table to a port belonging to a VRF. This way you can leak EIGRP routes from the global routing table into the VRF (through that physical connection). The drawback is that you use 2 ports (that could instead be used for other things...).
    Another way to this, would be to use static routing; use ip route vrf VRF x.x.x.x m.m.m.m n.n.n.n global to allow traffic to go from the VRF into the global routing table.
    Hope that helps...

  • Anybody tested Illustrator CS4 with OS-X Mavericks yet?

    Anybody tested Illustrator CS4 with OS-X Mavericks yet?
    Will it run? It runs fine in Mountain Lion.

    Simple basic testing CS4 with 10.9 DP3:
    - no problem with Illustrator (open, save, export, export to web, tools, basic work)
    - photoshop unworkable (starts, but basic tools like pen or brush are not working properly)
    - some problems with InDesign (cmd-space for zoom does not work, can not quit properly, just with force quit)
    In DP4 (under Parallels):
    - InDesign now quitting OK, Photoshop still unusable
    Ups, when installing 10.9 GM direct on external HDD (no virtualization), Adobe CS4 Design Standard (InDesign, Illustrator, Photoshop and Acrobat with Distiller) work OK for me, no problem.

  • Test of design on Zedboard

    HI , 
    I want to test a designed filter on my zedboard . so kann i do that with vivado or  which programm should i use to test the design  on board and show the result of the filtering with it .  it 's so helpful , if someone send me a tutorial or tell me how i do that ?
    thanks 
    this is the vhdl code of my filter : 
    -- Company:
    -- Engineer:
    -- Create Date: 01.07.2015 17:36:00
    -- Design Name:
    -- Module Name: FIR-filter - Behavioral
    -- Project Name:
    -- Target Devices:
    -- Tool Versions:
    -- Description:
    -- Dependencies:
    -- Revision:
    -- Revision 0.01 - File Created
    -- Additional Comments:
    library IEEE;
    use IEEE.STD_LOGIC_1164.ALL;
    use IEEE.STD_LOGIC_signed.ALL;
    use IEEE.NUMERIC_STD.ALL;
    -- Uncomment the following library declaration if using
    -- arithmetic functions with Signed or Unsigned values
    --use IEEE.NUMERIC_STD.ALL;
    -- Uncomment the following library declaration if instantiating
    -- any Xilinx leaf cells in this code.
    --library UNISIM;
    --use UNISIM.VComponents.all;
    entity FIRfilter is
    Port ( clk : in STD_LOGIC;
    x : in signed (13 downto 0);
    y : out signed (13 downto 0));
    end FIRfilter;
    architecture a of FIRfilter is
    signal a,b,c :signed(13 downto 0);
    signal k1:signed(2 downto 0):="110";
    signal k2:signed(2 downto 0):="010";
    begin
    process(x,clk)
    variable d:signed(16 downto 0 );
    begin
    if (clk='1') and (clk'event)
    then
    c<=b;
    b<=a;
    a<=x;
    d:=(k1*a + k2*c);
    y<=d(15 downto 2 );
    end if ;
    end process ;
    end a;

    check this document
    http://impulseaccelerated.com/uploads/3/3/7/7/3377898/microblazetutorials.pdf

  • Upload of Design Studio SDK extension to BI platform failed

    Hi Design Studio (SDK) friends,
    I created my own extension via Design Studio 1.3 SDK. It works fine in local mode. Than I uploaded it to our BI platform by using the menu entry "platform extensions" in Design Studio. Nice, it works, even on iPad.
    Because of some changes we undeployed / removed this extension from the BI platform (vie Design Studio 1.3).
    Now, after correcting the extension we would like to upload it again, but it is not possible. Following error message is shown:
    "One or more extensions failed to install
    Failed to access plugin JAR file of extension "myOwnExtension" (id: de.mycompany.myOwnExtension)"
    Other extensions can still uploaded. System is going to undeploy them and reinstall them without any error.
    The extension, which could not be uploaded, works fine in local mode. The correction changes were small, like changes in css-file and in the component.js-file.
    Do you have any idea, why the system could not access to the plugin JAR file?
    Many thanks for your helping and support.
    Kind regards
    Patrick
    Following the complete Error-Log:
    com.sap.ip.bi.zen.connectivity.ConnectivityException: Failed to access plugin JAR file of extension "myOwnExtension" (id: de.mycompany.myOwnExtension)
        at com.sap.ip.bi.zen.backends.bip.BiPlatformSystem.deployExtensions(BiPlatformSystem.java:1999)
        at com.sap.ip.bi.zen.ui.internal.dialogs.sdk.PlatformExtensionsDialog.installExtensions(PlatformExtensionsDialog.java:278)
        at com.sap.ip.bi.zen.ui.internal.dialogs.sdk.PlatformExtensionsDialog$5.run(PlatformExtensionsDialog.java:196)
        at org.eclipse.swt.custom.BusyIndicator.showWhile(BusyIndicator.java:70)
        at com.sap.ip.bi.zen.ui.internal.dialogs.sdk.PlatformExtensionsDialog.runInstallExtensionsAndShowWarning(PlatformExtensionsDialog.java:192)
        at com.sap.ip.bi.zen.ui.internal.dialogs.sdk.PlatformExtensionsDialog.installButtonClicked(PlatformExtensionsDialog.java:151)
        at com.sap.ip.bi.zen.ui.internal.dialogs.sdk.PlatformExtensionsDialog$2.installClicked(PlatformExtensionsDialog.java:98)
        at com.sap.ip.bi.zen.ui.internal.dialogs.sdk.SDKExtensionsTable$2.widgetSelected(SDKExtensionsTable.java:126)
        at org.eclipse.swt.widgets.TypedListener.handleEvent(TypedListener.java:248)
        at org.eclipse.swt.widgets.EventTable.sendEvent(EventTable.java:84)
        at org.eclipse.swt.widgets.Widget.sendEvent(Widget.java:1057)
        at org.eclipse.swt.widgets.Display.runDeferredEvents(Display.java:4170)
        at org.eclipse.swt.widgets.Display.readAndDispatch(Display.java:3759)
        at org.eclipse.jface.window.Window.runEventLoop(Window.java:826)
        at org.eclipse.jface.window.Window.open(Window.java:802)
        at com.sap.ip.bi.zen.ui.internal.dialogs.ZenTrayDialog.open(ZenTrayDialog.java:112)
        at com.sap.ip.bi.zen.ui.internal.util.SdkHelper.showDeployExtensionsDialog(SdkHelper.java:75)
        at com.sap.ip.bi.zen.ui.backendspecific.BackendSpecificUiHandlerAdapter$2.widgetSelected(BackendSpecificUiHandlerAdapter.java:122)
        at org.eclipse.swt.widgets.TypedListener.handleEvent(TypedListener.java:248)
        at org.eclipse.swt.widgets.EventTable.sendEvent(EventTable.java:84)
        at org.eclipse.swt.widgets.Widget.sendEvent(Widget.java:1057)
        at org.eclipse.swt.widgets.Display.runDeferredEvents(Display.java:4170)
        at org.eclipse.swt.widgets.Display.readAndDispatch(Display.java:3759)
        at org.eclipse.e4.ui.internal.workbench.swt.PartRenderingEngine$9.run(PartRenderingEngine.java:1113)
        at org.eclipse.core.databinding.observable.Realm.runWithDefault(Realm.java:332)
        at org.eclipse.e4.ui.internal.workbench.swt.PartRenderingEngine.run(PartRenderingEngine.java:997)
        at org.eclipse.e4.ui.internal.workbench.E4Workbench.createAndRunUI(E4Workbench.java:138)
        at org.eclipse.ui.internal.Workbench$5.run(Workbench.java:610)
        at org.eclipse.core.databinding.observable.Realm.runWithDefault(Realm.java:332)
        at org.eclipse.ui.internal.Workbench.createAndRunWorkbench(Workbench.java:567)
        at org.eclipse.ui.PlatformUI.createAndRunWorkbench(PlatformUI.java:150)
        at com.sap.ip.bi.zen.ui.internal.application.ZenApplication.start(ZenApplication.java:36)
        at org.eclipse.equinox.internal.app.EclipseAppHandle.run(EclipseAppHandle.java:196)
        at org.eclipse.core.runtime.internal.adaptor.EclipseAppLauncher.runApplication(EclipseAppLauncher.java:110)
        at org.eclipse.core.runtime.internal.adaptor.EclipseAppLauncher.start(EclipseAppLauncher.java:79)
        at org.eclipse.core.runtime.adaptor.EclipseStarter.run(EclipseStarter.java:354)
        at org.eclipse.core.runtime.adaptor.EclipseStarter.run(EclipseStarter.java:181)
        at sun.reflect.NativeMethodAccessorImpl.invoke0(Native Method)
        at sun.reflect.NativeMethodAccessorImpl.invoke(Unknown Source)
        at sun.reflect.DelegatingMethodAccessorImpl.invoke(Unknown Source)
        at java.lang.reflect.Method.invoke(Unknown Source)
        at org.eclipse.equinox.launcher.Main.invokeFramework(Main.java:636)
        at org.eclipse.equinox.launcher.Main.basicRun(Main.java:591)
        at org.eclipse.equinox.launcher.Main.run(Main.java:1450)

    Hello together,
    I could solve this issue by myself.
    I found following discussion:
    Issue with Design Studio SDK 1.2 Component development
    Because design studio uninstalled my own extension not correctly the *.jar file was missing in the folder:
    C:\Users\<myUser>\Analysis-config\plugins
    and could not found, while uploading the extension to BIP.
    Steps for solving:
    1. uninstalling the extension
    2. deleting all files in the folder (see above)
    3. reinstalling the extension
    4. uploading the extension to BIP (it work again)
    Kind regards and thanks to all, who thought about my problem.
    Patrick

  • Hand code or design with tool in netbean ?

    Hello everybody, at first i am sorry for my best English. So last time my teacher taught me java with Netbean IDE. But he has just taught me with free design and null layout /:)
    So now i don't know how to design GUI with layout. It's difficult. If when i work in a company so do i have to hand code or design with tools ? Sorry if my post are wrong in this box.

    Well, IMO, when you learn to code with a tool, you are just learning the tool--you are now finding the facts about that the hard way. You need to go through the Java tutorial on GUI building and actually learn how to do it now. If you reacher is teaching how to use the GUI builder in NetBeans instead of how to build GUI's, he's doing you and everyone else a grave disservice.
    When I find someone uses a code builder that is the point that their prospects usually start circling the drain unless they are willing to do a GUI development test using all manual tools; I've ran into far too many self proclaimed programmers that can use tool <name goes here> and really have no idea how to do anything except use that tool to get what ever that tool will produce--they cannot make a GUI or any other significant coding by themselves.

  • Dual-DMVPN Design with Dual Hubs on a single router ??

    Hi All,
    In DMVPN, in Dual-DMVPN Design with Dual Hubs , can a single router perform the role of dual hubs.
    The router has two different internet links. It is intended that when one link goes down, spokes shud connect to the same router onto the other active internet connection. Is this possible ?

    Since no one has answered yet, I'll give you the practical answer.
    You'll have issues with IPSec and static routing. "DMVPN" itself probably wouldn't have an issue, but it would depend on IPSec and routing to work.
    It is easier, by far, to put in a second router. And when you factor in your time to try to make it work (and it may not work), the second router is less expensive.
    Rob

  • Kisight: FAQ 1: Want to Test your iSight With Another Person? (replacement)

    Original
    kisight: FAQ 1: Want to Test your iSight With Another Person?
    Suitable for iChat 2.x and iChat 3
    This FAQ follows on from EZ Jim's "How To Ensure Your iSight Is Functional"
    Testing with Another User
    This FAQ presumes you have done the preliminary tests in EZ Jim's FAQ and can see yourself in the Preview windows of iChat.
    If your iSight works and you want to test your ability to connect iChat AV for instant messaging, see the iChat AV FAQs for further help.
    Apple runs three AIM names:-
    appleu3test01,
    appleu3test02 and
    appleu3test03 are available as Autoresponders. (They answer your call and start to show Video (adverts).
    They will accept invites from iChat 2.x, iChat 3, the AIM application on a PC and Trillian also on a PC.
    See Table 1 here for clarity
    In Addition to these there are several people who post regularly in the iChat and iSight discussion areas who may offer their help. See the list below.
    Other people put their details in their Apple profiles which you can see by clicking on their name on the left of any post.
    Please be aware of Time Zone differences.
    Current Known test users (Be polite and Text a request first).
    Rather than post iChat names on a page that could possibly be trawled for info, I linked the list to peoples profiles.
    Ralph Johns (UK) See under "Biography" in new Discussions Profile. Using Tiger (iChat 3 Panther at 10.3.9 possible still) Can also host 4 ways
    EZ Jim By invite on the Boards only
    Defcom (UK) From New Disussion Profile. Using Tiger (iChat 3)
    Ryan Mathus New DIscussion Profile. Maryland.
    ChrisBel New Discussions. Please note the @mac reference (Paris).
    James A. Weston New Discussions Profile. California (Please Text first. Has PCs and Macs. Mac on Tiger and an Intel Mac.
    Jeremy Varner Pennsylvania On Tiger
    John Holt In Austailia.
    See More about their Whereabouts and abilities to test here in Table 2
    The people who have offered to be testers have put their iChat details in their profiles.
    The Links are to prevent Trawling.
    From there you can copy and paste them into the Add Buddy set up screens to put them in your Buddy list. Please note you need to select the correct account type when adding a Buddy.
    To start a chat can be done in four ways.
    1) Double click the green camera icon next to the person's name in your Buddy list
    2) Click the person's name once in the Buddy list and use the icon at the bottom of the Buddy List
    3) Click the person's name once and use the Buddies menu items.
    4) Right Click on a Buddy and use the Pop Up Menu.
    3:54 PM Friday; March 30, 2007

    Original
    kisight: FAQ 1: Want to Test your iSight With Another Person?
    Suitable for iChat 2.x and iChat 3
    This FAQ follows on from EZ Jim's "How To Ensure Your iSight Is Functional"
    Testing with Another User
    This FAQ presumes you have done the preliminary tests in EZ Jim's FAQ and can see yourself in the Preview windows of iChat.
    If your iSight works and you want to test your ability to connect iChat AV for instant messaging, see the iChat AV FAQs for further help.
    Apple runs three AIM names:-
    appleu3test01,
    appleu3test02 and
    appleu3test03 are available as Autoresponders. (They answer your call and start to show Video (adverts).
    They will accept invites from iChat 2.x, iChat 3, the AIM application on a PC and Trillian also on a PC.
    See Table 1 here for clarity
    In Addition to these there are several people who post regularly in the iChat and iSight discussion areas who may offer their help. See the list below.
    Other people put their details in their Apple profiles which you can see by clicking on their name on the left of any post.
    Please be aware of Time Zone differences.
    Current Known test users (Be polite and Text a request first).
    Rather than post iChat names on a page that could possibly be trawled for info, I linked the list to peoples profiles.
    Ralph Johns (UK) See under "Biography" in new Discussions Profile. Using Tiger (iChat 3 Panther at 10.3.9 possible still) Can also host 4 ways
    EZ Jim By invite on the Boards only
    Defcom (UK) From New Disussion Profile. Using Tiger (iChat 3)
    Ryan Mathus New DIscussion Profile. Maryland.
    ChrisBel New Discussions. Please note the @mac reference (Paris).
    James A. Weston New Discussions Profile. California (Please Text first. Has PCs and Macs. Mac on Tiger and an Intel Mac.
    Jeremy Varner Pennsylvania On Tiger
    John Holt In Austailia.
    See More about their Whereabouts and abilities to test here in Table 2
    The people who have offered to be testers have put their iChat details in their profiles.
    The Links are to prevent Trawling.
    From there you can copy and paste them into the Add Buddy set up screens to put them in your Buddy list. Please note you need to select the correct account type when adding a Buddy.
    To start a chat can be done in four ways.
    1) Double click the green camera icon next to the person's name in your Buddy list
    2) Click the person's name once in the Buddy list and use the icon at the bottom of the Buddy List
    3) Click the person's name once and use the Buddies menu items.
    4) Right Click on a Buddy and use the Pop Up Menu.
    5:13 PM Thursday; April 5, 2007

  • I bought a iphone 4 from a guy on craigslist, I knew about ios 7.0 having the lock thing, but when I met him, his simcard was in the phone, I tested the phone with his simcard in from of him. The phone looked great with no problems and I even got the box

    I bought a iphone 4 from a guy on craigslist, I knew about ios 7.0 having the lock thing, but when I met him, his simcard was in the phone, I tested the phone with his simcard in from of him. The phone looked great with no problems and I even got the box with the accesories, so I knew it was his... I then did the reset on the phone (erase all data... from settings) and it went through and activated and everything was good... I used the phone for about a month with no problems at all, even had my apple ID in the icloud and everything... well ios 7.0.6 came out and I used my computer to restore the phone, because I feel that the cord and computer gives it a better restore, it did great no problems, and when it went to the part where I went to activate the phone, I get a enter your apple id and password to complete activation... Wait... why is this up i thought, I thought I did everything like I suppose to to succesfully switch it to me, the new owner... but now its asking for some C******@yahoo.com.... I only have @gmail.com emails and none start with a C... The guy sold me the phone because he was switching to Verizon, and I tried to call him, and now the number is disconnected... I guess he switched to Verizon with a new number... Now im stuck with a paperweight that costed me $200.00... What can I do at this point... Please help me... I restored it to give it to my Step Son as I just got me the iphone 5... Thank you for ANY HELP in advance... Thank you again.

    I bought a iphone 4 from a guy on craigslist, I knew about ios 7.0 having the lock thing, but when I met him, his simcard was in the phone, I tested the phone with his simcard in from of him. The phone looked great with no problems and I even got the box with the accesories, so I knew it was his... I then did the reset on the phone (erase all data... from settings) and it went through and activated and everything was good... I used the phone for about a month with no problems at all, even had my apple ID in the icloud and everything... well ios 7.0.6 came out and I used my computer to restore the phone, because I feel that the cord and computer gives it a better restore, it did great no problems, and when it went to the part where I went to activate the phone, I get a enter your apple id and password to complete activation... Wait... why is this up i thought, I thought I did everything like I suppose to to succesfully switch it to me, the new owner... but now its asking for some C******@yahoo.com.... I only have @gmail.com emails and none start with a C... The guy sold me the phone because he was switching to Verizon, and I tried to call him, and now the number is disconnected... I guess he switched to Verizon with a new number... Now im stuck with a paperweight that costed me $200.00... What can I do at this point... Please help me... I restored it to give it to my Step Son as I just got me the iphone 5... Thank you for ANY HELP in advance... Thank you again.

  • EJBs in Oracle 8.1.5 with SDK 1.2.2

    I am trying to get our Java Application - formerly a 1.1.7 - which accesses EJBs in the database (8i - 8.1.5) running with the new JDeveloper 3.0 with SDK 1.2.2.
    After some Exceptions that remind me of missing classes I get to the point where I do the .lookup() of the bean:
    MyFirstHome home = (MyFirstHome) ic.lookup( beanURL + beanName );
    This results in a NotContextException under 1.2.2.
    Everything works just fine under 1.1.8 with JDev 3.0 and I can lookup the bean and access all the beans methods without any problems.
    Are there still classes missing or do I need to reconfigure the database to work with 1.2.2?
    I'd appreciate any help.
    Thanks,
    Thomas Janausch

    The 8.1.5 EJB client libraries (the aurora ORB client libraries) will not work with a JDK 1.2.x client. No workaround at present. Since the 8i R2 (8.1.6) RDBMS will include JDK 1.2 support, help shouldn't be too far off.

Maybe you are looking for

  • Syncing video across several Apple TV units

    Hi, I want to use a NAS and several AppleTV units as a audio/video distribution system at home. I know that you can sync audio between different units but is it possible to do the same with video? What I want to be able to do, for example, is to be w

  • IS-U bapi_mtrreaddoc_upload - how to use

    Hi, I would like to know if there are any documentations  on how to use the IS-U bapi_mtrreaddoc_upload. I've no idea in which parameters where I should pass the meter readings. Would be grateful if a sample program can be provided. Thanks in advance

  • Is it possible to install photoshop 6.0 (CD) on macbook pro?

    Is it possible to install photoshop 6.0 (CD) on macbook pro? Is it possible to install photoshop 5.0 (CD) on macbook pro?

  • SOA 12c MDS Deployment using Maven

    Hi, I am stuck deploying  mds to soa 12c server using maven. Would be appreciate if someone please help me. Regards, Mustaque

  • About BDC"S?

    Hi, I want to know the differences between Call Transcation and session Input methods in BDC ?