Anonymous sequence an clientgen

Hi there!
The clientgen ant-task has problems when using anonymous sequences like
<element...
<complexType>
<sequence maxOccurs="unbounded">
<element name="..."..
<element name="..."..
</sequence>
</complexType>
</element>
It will generate only one setter and getter for any of the inner elements of the
sequence, instead of an array of elements, allowing to specify a larger number
of elements.
I think, I found a hint in the documentation that this is the fact and clientgen
is not able to handle this.
However, I have a fixed schema and need to handle this. Is there any workarround?
greetings
Heiner

Sorry for the delay in getting back to you.
Once you start changing hands so many times of (a calls b, which calls c, which calls d...), it gets hard to track.  Generally speaking once outside you stay outside, but with App-V 5 the VFS is open to read/execute and its possible the exe is never
actually inside, you just think it is because the .hta opens. 
Try to lower the number of actions going on.  Start in the VE (by using powershell, and confirm you are inside by evaluating get-appvclientpackage "name" to see the inuse property is true.  Once there, run the exe manually with the options
(assume passed through command line).  Does it work?  Then back up, run the hta, and run, does that also work?
If you do one step at a time, and aren't sure if you are in the VE you can always evaluate the powershell command again, or check the file system/registry system appears as virtualized.
When someone refers to VFS vs PVAD capturing, they are referring to the DIR path you put into the sequencer GUI.  You can quickly tell by looking at your package.  If the files captured are immediately under Root, you captured to the PVAD. 
If they are under Root\VFS\ you captured to the VFS.  Here is a good link to read, this blog is definitely one (of many) to check out, a lot of great information:
http://blogs.technet.com/b/gladiatormsft/archive/2014/08/25/app-v-5-installing-to-the-pvad-don-t-do-it-yes-i-said-it.aspx
That said, you should upgrade to SP3, and SP3 actually hides the whole PVAD vs VFS option, defaulting everything to VFS.  If you did package to PVAD, to be honest it might just be worth your time to redo in VFS before other troubleshooting.  VFS
captures have a better (generally speaking) rate of success.
Let me know if I'm not clear on anything.

Similar Messages

  • Xml Schema xs:choice element in dynamic forms

    Hi all,
    I'm developing an xml schema for creating the data model of a form. I connect LC Designer-8.2ES to this schema.
    In the schema I do have mutually exclusive elements implemented with a <xs:choice> element. A very comparable situation was described in this thread : http://www.acrobatusers.com/forums/aucbb/viewtopic.php?id=123 in 2007.
    The problem, as related in this thread, is that only the very first element of the choice is displayed in the generated pdf and I don't know how to display all parts as a real choice.  So what's the best way to let user fill in one part or the other of the choice?
    Does it need to be implemented with scripting (xfa...myform.presence = visible | hidden)?
    I want that only the filled element of the choice be exported from the form, the resulting xml being valid according the schema. I seems to work, but as of now, only for the first element...
    BTW, what's the best document describing what parts of xml schema are usable in dynamic XFA forms?  Is it 100% compatible?  I've observed that the constraints in my schema are not validated in the forms.  Shouldn't xml schema generate validating script in LCD? Does it apply for a subset of xml schema?
    Thanks in advance,
    jgrd

    Hi all,
    finally, I understand that we cannot use "anonymous" sequences neither in LiveCycle (like this following example where person might have many email)
        <xs:element name="person">
            <xs:complexType>
                <xs:sequence maxOccurs="unbounded">
                    <xs:element name="email" type="xs:string"/>
                </xs:sequence>
            </xs:complexType>
        </xs:element>
    valid instance with 3 emails :
    <person xsi:noNamespaceSchemaLocation="Untitled39.xsd" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance">
        <email>String</email>
        <email>String</email>
        <email>String</email>
    </person>
    In LC Designer, element with same name (<email>) are not used AFAK.  Maybe I'm wrong?
    The same hands with <xs:choice> where only first choice appears in pdf although being present in LC Designer.
    As for sequences, my idea is to produce <email1>, <email2>, etc. in a static schema, before connecting schema's data to LCD.
    Hope someone can show up and tell it's the right way or not.
    Regards
    jgrd

  • Clientgen does not fully qualify superclasses

    I have datatypes that extend classes in a different package. When I use
    WLS81 ANT task clientgen to generate client-jars from WSDL files obtained
    from web services generated by servicegen, the superclasses are not fully
    qualified in the generated java code, nor are there import statements for
    the superclasses. This causes the generated code to have compile errors.
    Other dependencies are fully qualified in the generated code, but the
    superclass is not.
    There mayThe WSDL is included below. Specifically,
    com.starcomsoft.pp.database.BaseHelper does not get a fully qualifed
    reference in the generated java code.
    <?xml version="1.0" encoding="UTF-8"?>
    <definitions xmlns:tns="http://localhost:7001/webservice/"
    xmlns:wsr="http://www.openuri.org/2002/10/soap/reliability/"
    xmlns:mime="http://schemas.xmlsoap.org/wsdl/mime/" xmlns:soap12
    ="http://schemas.xmlsoap.org/wsdl/soap12/"
    xmlns:http="http://schemas.xmlsoap.org/wsdl/http/"
    xmlns:soapenc="http://schemas.xmlsoap.org/soap/encoding/"
    xmlns:soap12enc="http://www.w3.org/2002/12/soap-encoding"
    xmlns:conv="http://www.openuri.org/2002/04/wsdl/conversation/"
    xmlns:soap="http://schemas.xmlsoap.org/wsdl/soap/"
    xmlns:s="http://www.w3.org/2001/XMLSchema"
    xmlns="http://schemas.xmlsoap.org/wsdl/"
    targetNamespace="http://localhost:7001/webservice/">
         <types xmlns:tns="http://localhost:7001/webservice/"
    xmlns:wsr="http://www.openuri.org/2002/10/soap/reliability/"
    xmlns:http="http://schemas.xmlsoap.org/wsdl/http/" xmlns:soap12
    ="http://schemas.xmlsoap.org/wsdl/soap12/"
    xmlns:mime="http://schemas.xmlsoap.org/wsdl/mime/"
    xmlns:soapenc="http://schemas.xmlsoap.org/soap/encoding/"
    xmlns:soap12enc="http://www.w3.org/2002/12/soap-encoding"
    xmlns:conv="http://www.openuri.org/2002/04/wsdl/conversation/"
    xmlns:soap="http://schemas.xmlsoap.org/wsdl/soap/"
    xmlns:s="http://www.w3.org/2001/XMLSchema"
    xmlns="http://schemas.xmlsoap.org/wsdl/">
              <xsd:schema xmlns:xsd="http://www.w3.org/2001/XMLSchema"
    xmlns:stns="java:com.starcomsoft.pp.common" elementFormDefault="qualified"
    attributeFormDefault="qualified"
    targetNamespace="java:com.starcomsoft.pp.common">
                   <xsd:complexType name="AbstractSearchCriteria"
    abstract="true">
                        <xsd:sequence>
                             <xsd:element type="xsd:int"
    name="recordStatus" minOccurs="1" maxOccurs="1">
    </xsd:element>
                        </xsd:sequence>
                   </xsd:complexType>
              </xsd:schema>
              <xsd:schema xmlns:xsd="http://www.w3.org/2001/XMLSchema"
    xmlns:stns="java:com.starcomsoft.pp.customer"
    elementFormDefault="qualified" attributeFormDefault="qualified"
    targetNamespace="java:com.starcomsoft.pp.customer">
                   <xsd:import namespace="java:com.starcomsoft.pp.database">
    </xsd:import>
                   <xsd:import namespace="java:language_builtins.lang">
    </xsd:import>
                   <xsd:import namespace="java:language_builtins.util">
    </xsd:import>
                   <xsd:import
    namespace="http://schemas.xmlsoap.org/soap/encoding/">
    </xsd:import>
                   <xsd:import namespace="java:com.starcomsoft.pp.common">
    </xsd:import>
                   <xsd:complexType name="Address">
                        <xsd:complexContent>
                             <xsd:extension base="stns:AddressHelper">
    </xsd:extension>
                        </xsd:complexContent>
                   </xsd:complexType>
                   <xsd:complexType name="AddressHelper" abstract="true">
                        <xsd:complexContent>
                             <xsd:extension
    xmlns:tp="java:com.starcomsoft.pp.database" base="tp:BaseHelper">
                                  <xsd:sequence>
                                       <xsd:element type="xsd:string"
    name="addressOne" minOccurs="1" maxOccurs="1" nillable="true">
    </xsd:element>
                                       <xsd:element type="xsd:string"
    name="addressTwo" minOccurs="1" maxOccurs="1" nillable="true">
    </xsd:element>
                                       <xsd:element type="xsd:string"
    name="city" minOccurs="1" maxOccurs="1" nillable="true">
    </xsd:element>
                                       <xsd:element
    xmlns:tp="java:language_builtins.lang" type="tp:ArrayOfString"
    name="errorMessages" minOccurs="1" maxOccurs="1" nillable="true">
    </xsd:element>
                                       <xsd:element type="xsd:int"
    name="id" minOccurs="1" maxOccurs="1">
    </xsd:element>
                                       <xsd:element
    xmlns:tp="java:language_builtins.util" type="tp:ArrayList"
    name="messageList" minOccurs="1" maxOccurs="1" nillable="true">
    </xsd:element>
                                       <xsd:element type="xsd:int"
    name="recordStatus" minOccurs="1" maxOccurs="1">
    </xsd:element>
                                       <xsd:element type="xsd:int"
    name="stateId" minOccurs="1" maxOccurs="1">
    </xsd:element>
                                       <xsd:element type="xsd:int"
    name="version" minOccurs="1" maxOccurs="1">
    </xsd:element>
                                       <xsd:element type="xsd:string"
    name="zipCode" minOccurs="1" maxOccurs="1" nillable="true">
    </xsd:element>
                                  </xsd:sequence>
                             </xsd:extension>
                        </xsd:complexContent>
                   </xsd:complexType>
                   <xsd:complexType name="ArrayOfCustomerGraphData">
                        <xsd:complexContent>
                             <xsd:restriction
    xmlns:soapenc="http://schemas.xmlsoap.org/soap/encoding/"
    base="soapenc:Array">
                                  <xsd:attribute
    xmlns:wsdl="http://schemas.xmlsoap.org/wsdl/" ref="soapenc:arrayType"
    wsdl:arrayType="stns:CustomerGraphData[]">
    </xsd:attribute>
                             </xsd:restriction>
                        </xsd:complexContent>
                   </xsd:complexType>
                   <xsd:complexType name="ArrayOfProfile">
                        <xsd:complexContent>
                             <xsd:restriction
    xmlns:soapenc="http://schemas.xmlsoap.org/soap/encoding/"
    base="soapenc:Array">
                                  <xsd:attribute
    xmlns:wsdl="http://schemas.xmlsoap.org/wsdl/" ref="soapenc:arrayType"
    wsdl:arrayType="stns:Profile[]">
    </xsd:attribute>
                             </xsd:restriction>
                        </xsd:complexContent>
                   </xsd:complexType>
                   <xsd:complexType name="Contact">
                        <xsd:complexContent>
                             <xsd:extension base="stns:ContactHelper">
    </xsd:extension>
                        </xsd:complexContent>
                   </xsd:complexType>
                   <xsd:complexType name="ContactHelper" abstract="true">
                        <xsd:complexContent>
                             <xsd:extension
    xmlns:tp="java:com.starcomsoft.pp.database" base="tp:BaseHelper">
                                  <xsd:sequence>
                                       <xsd:element type="xsd:boolean"
    name="active" minOccurs="1" maxOccurs="1">
    </xsd:element>
                                       <xsd:element type="xsd:string"
    name="contactPoint" minOccurs="1" maxOccurs="1" nillable="true">
    </xsd:element>
                                       <xsd:element type="xsd:int"
    name="customerId" minOccurs="1" maxOccurs="1">
    </xsd:element>
                                       <xsd:element type="xsd:int"
    name="departmentId" minOccurs="1" maxOccurs="1">
    </xsd:element>
                                       <xsd:element
    xmlns:tp="java:language_builtins.lang" type="tp:ArrayOfString"
    name="errorMessages" minOccurs="1" maxOccurs="1" nillable="true">
    </xsd:element>
                                       <xsd:element type="xsd:string"
    name="first" minOccurs="1" maxOccurs="1" nillable="true">
    </xsd:element>
                                       <xsd:element type="xsd:int"
    name="id" minOccurs="1" maxOccurs="1">
    </xsd:element>
                                       <xsd:element type="xsd:string"
    name="last" minOccurs="1" maxOccurs="1" nillable="true">
    </xsd:element>
                                       <xsd:element
    xmlns:tp="java:language_builtins.util" type="tp:ArrayList"
    name="messageList" minOccurs="1" maxOccurs="1" nillable="true">
    </xsd:element>
                                       <xsd:element type="xsd:boolean"
    name="primaryContact" minOccurs="1" maxOccurs="1">
    </xsd:element>
                                       <xsd:element type="xsd:int"
    name="recordStatus" minOccurs="1" maxOccurs="1">
    </xsd:element>
                                       <xsd:element type="xsd:string"
    name="title" minOccurs="1" maxOccurs="1" nillable="true">
    </xsd:element>
                                       <xsd:element type="xsd:int"
    name="type" minOccurs="1" maxOccurs="1">
    </xsd:element>
                                       <xsd:element type="xsd:int"
    name="version" minOccurs="1" maxOccurs="1">
    </xsd:element>
                                  </xsd:sequence>
                             </xsd:extension>
                        </xsd:complexContent>
                   </xsd:complexType>
                   <xsd:complexType name="Customer">
                        <xsd:complexContent>
                             <xsd:extension base="stns:CustomerHelper">
                                  <xsd:sequence>
                                       <xsd:element type="stns:Address"
    name="billAddressData" minOccurs="1" maxOccurs="1" nillable="true">
    </xsd:element>
                                       <xsd:element type="stns:Address"
    name="billToAddress" minOccurs="1" maxOccurs="1" nillable="true">
    </xsd:element>
                                       <xsd:element type="stns:Address"
    name="shipAddressData" minOccurs="1" maxOccurs="1" nillable="true">
    </xsd:element>
                                       <xsd:element type="stns:Address"
    name="shipToAddress" minOccurs="1" maxOccurs="1" nillable="true">
    </xsd:element>
                                  </xsd:sequence>
                             </xsd:extension>
                        </xsd:complexContent>
                   </xsd:complexType>
                   <xsd:complexType name="CustomerAdjustment">
                        <xsd:complexContent>
                             <xsd:extension
    base="stns:CustomerAdjustmentHelper">
    </xsd:extension>
                        </xsd:complexContent>
                   </xsd:complexType>
                   <xsd:complexType name="CustomerAdjustmentHelper"
    abstract="true">
                        <xsd:complexContent>
                             <xsd:extension
    xmlns:tp="java:com.starcomsoft.pp.database" base="tp:BaseHelper">
                                  <xsd:sequence>
                                       <xsd:element type="xsd:int"
    name="adjustmentId" minOccurs="1" maxOccurs="1">
    </xsd:element>
                                       <xsd:element type="xsd:double"
    name="amount" minOccurs="1" maxOccurs="1">
    </xsd:element>
                                       <xsd:element type="xsd:string"
    name="code" minOccurs="1" maxOccurs="1" nillable="true">
    </xsd:element>
                                       <xsd:element type="xsd:int"
    name="customerId" minOccurs="1" maxOccurs="1">
    </xsd:element>
                                       <xsd:element
    xmlns:tp="java:language_builtins.lang" type="tp:ArrayOfString"
    name="errorMessages" minOccurs="1" maxOccurs="1" nillable="true">
    </xsd:element>
                                       <xsd:element type="xsd:int"
    name="facilityId" minOccurs="1" maxOccurs="1">
    </xsd:element>
                                       <xsd:element type="xsd:int"
    name="factorId" minOccurs="1" maxOccurs="1">
    </xsd:element>
                                       <xsd:element type="xsd:int"
    name="id" minOccurs="1" maxOccurs="1">
    </xsd:element>
                                       <xsd:element
    xmlns:tp="java:language_builtins.util" type="tp:ArrayList"
    name="messageList" minOccurs="1" maxOccurs="1" nillable="true">
    </xsd:element>
                                       <xsd:element type="xsd:int"
    name="methodId" minOccurs="1" maxOccurs="1">
    </xsd:element>
                                       <xsd:element type="xsd:int"
    name="recordStatus" minOccurs="1" maxOccurs="1">
    </xsd:element>
                                       <xsd:element type="xsd:int"
    name="type" minOccurs="1" maxOccurs="1">
    </xsd:element>
                                       <xsd:element type="xsd:double"
    name="value" minOccurs="1" maxOccurs="1">
    </xsd:element>
                                       <xsd:element type="xsd:int"
    name="version" minOccurs="1" maxOccurs="1">
    </xsd:element>
                                  </xsd:sequence>
                             </xsd:extension>
                        </xsd:complexContent>
                   </xsd:complexType>
                   <xsd:complexType name="CustomerGraphCollection">
                        <xsd:sequence>
                             <xsd:element
    type="stns:ArrayOfCustomerGraphData" name="customerGraphDataValues"
    minOccurs="1" maxOccurs="1" nillable="true">
    </xsd:element>
                        </xsd:sequence>
                   </xsd:complexType>
                   <xsd:complexType name="CustomerGraphData">
                        <xsd:sequence>
                             <xsd:element type="xsd:string" name="code"
    minOccurs="1" maxOccurs="1" nillable="true">
    </xsd:element>
                             <xsd:element type="xsd:int" name="id"
    minOccurs="1" maxOccurs="1" nillable="true">
    </xsd:element>
                             <xsd:element type="xsd:string" name="name"
    minOccurs="1" maxOccurs="1" nillable="true">
    </xsd:element>
                             <xsd:element type="xsd:int" name="parentId"
    minOccurs="1" maxOccurs="1" nillable="true">
    </xsd:element>
                        </xsd:sequence>
                   </xsd:complexType>
                   <xsd:complexType name="CustomerHelper" abstract="true">
                        <xsd:complexContent>
                             <xsd:extension
    xmlns:tp="java:com.starcomsoft.pp.database" base="tp:BaseHelper">
                                  <xsd:sequence>
                                       <xsd:element type="xsd:int"
    name="accountStatus" minOccurs="1" maxOccurs="1">
    </xsd:element>
                                       <xsd:element type="xsd:dateTime"
    name="agingDate" minOccurs="1" maxOccurs="1" nillable="true">
    </xsd:element>
                                       <xsd:element type="xsd:int"
    name="agingDays" minOccurs="1" maxOccurs="1">
    </xsd:element>
                                       <xsd:element type="xsd:boolean"
    name="allowSplitOrders" minOccurs="1" maxOccurs="1">
    </xsd:element>
                                       <xsd:element type="xsd:double"
    name="balanceDue" minOccurs="1" maxOccurs="1">
    </xsd:element>
                                       <xsd:element type="stns:Address"
    name="billAddressData" minOccurs="1" maxOccurs="1" nillable="true">
    </xsd:element>
                                       <xsd:element type="stns:Address"
    name="billToAddress" minOccurs="1" maxOccurs="1" nillable="true">
    </xsd:element>
                                       <xsd:element type="xsd:int"
    name="billToAddressId" minOccurs="1" maxOccurs="1">
    </xsd:element>
                                       <xsd:element type="xsd:int"
    name="billingAddressSourceCode" minOccurs="1" maxOccurs="1">
    </xsd:element>
                                       <xsd:element type="xsd:int"
    name="billingPartnerId" minOccurs="1" maxOccurs="1">
    </xsd:element>
                                       <xsd:element type="xsd:string"
    name="billingPartnerName" minOccurs="1" maxOccurs="1" nillable="true">
    </xsd:element>
                                       <xsd:element type="xsd:string"
    name="buyer" minOccurs="1" maxOccurs="1" nillable="true">
    </xsd:element>
                                       <xsd:element type="xsd:double"
    name="creditAvailable" minOccurs="1" maxOccurs="1">
    </xsd:element>
                                       <xsd:element type="xsd:double"
    name="creditLimit" minOccurs="1" maxOccurs="1">
    </xsd:element>
                                       <xsd:element type="xsd:int"
    name="currencyId" minOccurs="1" maxOccurs="1">
    </xsd:element>
                                       <xsd:element type="xsd:string"
    name="customerCode" minOccurs="1" maxOccurs="1" nillable="true">
    </xsd:element>
                                       <xsd:element type="xsd:int"
    name="deliveryPoints" minOccurs="1" maxOccurs="1">
    </xsd:element>
                                       <xsd:element type="xsd:string"
    name="departmentCode" minOccurs="1" maxOccurs="1" nillable="true">
    </xsd:element>
                                       <xsd:element type="xsd:int"
    name="dropSequence" minOccurs="1" maxOccurs="1">
    </xsd:element>
                                       <xsd:element
    xmlns:tp="java:language_builtins.lang" type="tp:ArrayOfString"
    name="errorMessages" minOccurs="1" maxOccurs="1" nillable="true">
    </xsd:element>
                                       <xsd:element type="xsd:int"
    name="facilityId" minOccurs="1" maxOccurs="1">
    </xsd:element>
                                       <xsd:element type="xsd:double"
    name="financeChargePercent" minOccurs="1" maxOccurs="1">
    </xsd:element>
                                       <xsd:element type="xsd:int"
    name="fixedTimeForStop" minOccurs="1" maxOccurs="1">
    </xsd:element>
                                       <xsd:element type="xsd:double"
    name="freightAmount" minOccurs="1" maxOccurs="1">
    </xsd:element>
                                       <xsd:element type="xsd:int"
    name="freightTypeId" minOccurs="1" maxOccurs="1">
    </xsd:element>
                                       <xsd:element type="xsd:int"
    name="groupId" minOccurs="1" maxOccurs="1">
    </xsd:element>
                                       <xsd:element type="xsd:boolean"
    name="gstTaxApplicable" minOccurs="1" maxOccurs="1">
    </xsd:element>
                                       <xsd:element type="xsd:boolean"
    name="hasHistory" minOccurs="1" maxOccurs="1">
    </xsd:element>
                                       <xsd:element type="xsd:int"
    name="id" minOccurs="1" maxOccurs="1">
    </xsd:element>
                                       <xsd:element type="xsd:string"
    name="importNumber" minOccurs="1" maxOccurs="1" nillable="true">
    </xsd:element>
                                       <xsd:element type="xsd:boolean"
    name="isDirectlyBillable" minOccurs="1" maxOccurs="1">
    </xsd:element>
                                       <xsd:element type="xsd:boolean"
    name="isGroupVolumeDiscounted" minOccurs="1" maxOccurs="1">
    </xsd:element>
                                       <xsd:element type="xsd:boolean"
    name="isRegion" minOccurs="1" maxOccurs="1">
    </xsd:element>
                                       <xsd:element type="xsd:boolean"
    name="isShipTo" minOccurs="1" maxOccurs="1">
    </xsd:element>
                                       <xsd:element type="xsd:int"
    name="kitCodeId" minOccurs="1" maxOccurs="1">
    </xsd:element>
                                       <xsd:element type="xsd:int"
    name="leadTime" minOccurs="1" maxOccurs="1">
    </xsd:element>
                                       <xsd:element type="xsd:int"
    name="lockoutControl" minOccurs="1" maxOccurs="1">
    </xsd:element>
                                       <xsd:element type="xsd:int"
    name="managerId" minOccurs="1" maxOccurs="1">
    </xsd:element>
                                       <xsd:element type="xsd:string"
    name="mediumRangeZone" minOccurs="1" maxOccurs="1" nillable="true">
    </xsd:element>
                                       <xsd:element type="xsd:int"
    name="merchandiserId" minOccurs="1" maxOccurs="1">
    </xsd:element>
                                       <xsd:element
    xmlns:tp="java:language_builtins.util" type="tp:ArrayList"
    name="messageList" minOccurs="1" maxOccurs="1" nillable="true">
    </xsd:element>
                                       <xsd:element type="xsd:string"
    name="name" minOccurs="1" maxOccurs="1" nillable="true">
    </xsd:element>
                                       <xsd:element type="xsd:int"
    name="packageId" minOccurs="1" maxOccurs="1">
    </xsd:element>
                                       <xsd:element type="xsd:int"
    name="parentCustomerId" minOccurs="1" maxOccurs="1">
    </xsd:element>
                                       <xsd:element type="xsd:string"
    name="parentName" minOccurs="1" maxOccurs="1" nillable="true">
    </xsd:element>
                                       <xsd:element type="xsd:int"
    name="priceListId" minOccurs="1" maxOccurs="1">
    </xsd:element>
                                       <xsd:element type="xsd:boolean"
    name="printStatement" minOccurs="1" maxOccurs="1">
    </xsd:element>
                                       <xsd:element type="xsd:string"
    name="receivingTimeFrom" minOccurs="1" maxOccurs="1" nillable="true">
    </xsd:element>
                                       <xsd:element type="xsd:string"
    name="receivingTimeTo" minOccurs="1" maxOccurs="1" nillable="true">
    </xsd:element>
                                       <xsd:element type="xsd:int"
    name="recordStatus" minOccurs="1" maxOccurs="1">
    </xsd:element>
                                       <xsd:element type="xsd:boolean"
    name="requirePO" minOccurs="1" maxOccurs="1">
    </xsd:element>
                                       <xsd:element type="xsd:boolean"
    name="requireSKU" minOccurs="1" maxOccurs="1">
    </xsd:element>
                                       <xsd:element type="xsd:int"
    name="salesRepId" minOccurs="1" maxOccurs="1">
    </xsd:element>
                                       <xsd:element type="stns:Address"
    name="shipAddressData" minOccurs="1" maxOccurs="1" nillable="true">
    </xsd:element>
                                       <xsd:element type="stns:Address"
    name="shipToAddress" minOccurs="1" maxOccurs="1" nillable="true">
    </xsd:element>
                                       <xsd:element type="xsd:int"
    name="shipToAddressId" minOccurs="1" maxOccurs="1">
    </xsd:element>
                                       <xsd:element type="xsd:int"
    name="shipViaId" minOccurs="1" maxOccurs="1">
    </xsd:element>
                                       <xsd:element type="xsd:int"
    name="shipZoneId" minOccurs="1" maxOccurs="1">
    </xsd:element>
                                       <xsd:element type="xsd:string"
    name="shortRangeZone" minOccurs="1" maxOccurs="1" nillable="true">
    </xsd:element>
                                       <xsd:element type="xsd:string"
    name="specialCodes" minOccurs="1" maxOccurs="1" nillable="true">
    </xsd:element>
                                       <xsd:element type="xsd:dateTime"
    name="startDate" minOccurs="1" maxOccurs="1" nillable="true">
    </xsd:element>
                                       <xsd:element type="xsd:string"
    name="storeNumber" minOccurs="1" maxOccurs="1" nillable="true">
    </xsd:element>
                                       <xsd:element type="xsd:int"
    name="summaryPrintCodeId" minOccurs="1" maxOccurs="1">
    </xsd:element>
                                       <xsd:element type="xsd:int"
    name="taxCodeId" minOccurs="1" maxOccurs="1">
    </xsd:element>
                                       <xsd:element type="xsd:string"
    name="taxNumber" minOccurs="1" maxOccurs="1" nillable="true">
    </xsd:element>
                                       <xsd:element type="xsd:int"
    name="termsCodeId" minOccurs="1" maxOccurs="1">
    </xsd:element>
                                       <xsd:element type="xsd:double"
    name="toBeDue" minOccurs="1" maxOccurs="1">
    </xsd:element>
                                       <xsd:element type="xsd:boolean"
    name="useShippingAddress" minOccurs="1" maxOccurs="1">
    </xsd:element>
                                       <xsd:element type="xsd:string"
    name="vendorNumber" minOccurs="1" maxOccurs="1" nillable="true">
    </xsd:element>
                                       <xsd:element type="xsd:int"
    name="version" minOccurs="1" maxOccurs="1">
    </xsd:element>
                                       <xsd:element type="xsd:int"
    name="warningControl" minOccurs="1" maxOccurs="1">
    </xsd:element>
                                  </xsd:sequence>
                             </xsd:extension>
                        </xsd:complexContent>
                   </xsd:complexType>
                   <xsd:complexType name="CustomerProfile">
                        <xsd:sequence>
                             <xsd:element type="stns:ArrayOfProfile"
    name="profiles" minOccurs="1" maxOccurs="1" nillable="true">
    </xsd:element>
                        </xsd:sequence>
                   </xsd:complexType>
                   <xsd:complexType name="CustomerSearchCriteria">
                        <xsd:complexContent>
                             <xsd:extension
    xmlns:tp="java:com.starcomsoft.pp.common" base="tp:AbstractSearchCriteria">
                                  <xsd:sequence>
                                       <xsd:element type="xsd:int"
    name="billingPartnerId" minOccurs="1" maxOccurs="1">
    </xsd:element>
                                       <xsd:element type="xsd:int"
    name="id" minOccurs="1" maxOccurs="1">
    </xsd:element>
                                       <xsd:element type="xsd:boolean"
    name="isDirectlyBillable" minOccurs="1" maxOccurs="1">
    </xsd:element>
                                       <xsd:element type="xsd:boolean"
    name="isRegion" minOccurs="1" maxOccurs="1">
    </xsd:element>
                                       <xsd:element type="xsd:boolean"
    name="isShipTo" minOccurs="1" maxOccurs="1">
    </xsd:element>
                                       <xsd:element type="xsd:int"
    name="parentCustomerId" minOccurs="1" maxOccurs="1">
    </xsd:element>
                                       <xsd:element type="xsd:string"
    name="searchString" minOccurs="1" maxOccurs="1" nillable="true">
    </xsd:element>
                                       <xsd:element type="xsd:int"
    name="searchType" minOccurs="1" maxOccurs="1">
    </xsd:element>
                                  </xsd:sequence>
                             </xsd:extension>
                        </xsd:complexContent>
                   </xsd:complexType>
                   <xsd:complexType name="CustomerTypePct">
                        <xsd:complexContent>
                             <xsd:extension
    base="stns:CustomerTypePctHelper">
    </xsd:extension>
                        </xsd:complexContent>
                   </xsd:complexType>
                   <xsd:complexType name="CustomerTypePctHelper"
    abstract="true">
                        <xsd:complexContent>
                             <xsd:extension
    xmlns:tp="java:com.starcomsoft.pp.database" base="tp:BaseHelper">
                                  <xsd:sequence>
                                       <xsd:element type="xsd:int"
    name="customerId" minOccurs="1" maxOccurs="1">
    </xsd:element>
                                       <xsd:element type="xsd:int"
    name="customerTypeId" minOccurs="1" maxOccurs="1">
    </xsd:element>
                                       <xsd:element
    xmlns:tp="java:language_builtins.lang" type="tp:ArrayOfString"
    name="errorMessages" minOccurs="1" maxOccurs="1" nillable="true">
    </xsd:element>
                                       <xsd:element type="xsd:int"
    name="id" minOccurs="1" maxOccurs="1">
    </xsd:element>
                                       <xsd:element
    xmlns:tp="java:language_builtins.util" type="tp:ArrayList"
    name="messageList" minOccurs="1" maxOccurs="1" nillable="true">
    </xsd:element>
                                       <xsd:element type="xsd:int"
    name="recordStatus" minOccurs="1" maxOccurs="1">
    </xsd:element>
                                       <xsd:element type="xsd:int"
    name="status" minOccurs="1" maxOccurs="1">
    </xsd:element>
                                       <xsd:element type="xsd:int"
    name="typePct" minOccurs="1" maxOccurs="1">
    </xsd:element>
                                       <xsd:element type="xsd:int"
    name="version" minOccurs="1" maxOccurs="1">
    </xsd:element>
                                  </xsd:sequence>
                             </xsd:extension>
                        </xsd:complexContent>
                   </xsd:complexType>
                   <xsd:complexType name="MailingListSubscription">
                        <xsd:complexContent>
                             <xsd:extension
    base="stns:MailingListSubscriptionHelper">
    </xsd:extension>
                        </xsd:complexContent>
                   </xsd:complexType>
                   <xsd:complexType name="MailingListSubscriptionHelper"
    abstract="true">
                        <xsd:complexContent>
                             <xsd:extension
    xmlns:tp="java:com.starcomsoft.pp.database" base="tp:BaseHelper">
                                  <xsd:sequence>
                                       <xsd:element type="xsd:boolean"
    name="checked" minOccurs="1" maxOccurs="1">
    </xsd:element>
                                       <xsd:element type="xsd:int"
    name="customerId" minOccurs="1" maxOccurs="1">
    </xsd:element>
                                       <xsd:element
    xmlns:tp="java:language_builtins.lang" type="tp:ArrayOfString"
    name="errorMessages" minOccurs="1" maxOccurs="1" nillable="true">
    </xsd:element>
                                       <xsd:element type="xsd:int"
    name="id" minOccurs="1" maxOccurs="1">
    </xsd:element>
                                       <xsd:element type="xsd:int"
    name="mailingListId" minOccurs="1" maxOccurs="1">
    </xsd:element>
                                       <xsd:element type="xsd:string"
    name="mailingListName" minOccurs="1" maxOccurs="1" nillable="true">
    </xsd:element>
                                       <xsd:element
    xmlns:tp="java:language_builtins.util" type="tp:ArrayList"
    name="messageList" minOccurs="1" maxOccurs="1" nillable="true">
    </xsd:element>
                                       <xsd:element type="xsd:int"
    name="recordStatus" minOccurs="1" maxOccurs="1">
    </xsd:element>
                                       <xsd:element type="xsd:int"
    name="version" minOccurs="1" maxOccurs="1">
    </xsd:element>
                                  </xsd:sequence>
                             </xsd:extension>
                        </xsd:complexContent>
                   </xsd:complexType>
                   <xsd:complexType name="NoteVault">
                        <xsd:complexContent>
                             <xsd:extension base="stns:NoteVaultHelper">
    </xsd:extension>
                        </xsd:complexContent>
                   </xsd:complexType>
                   <xsd:complexType name="NoteVaultHelper" abstract="true">
                        <xsd:complexContent>
                             <xsd:extension
    xmlns:tp="java:com.starcomsoft.pp.database" base="tp:BaseHelper">
                                  <xsd:sequence>
                                       <xsd:element
    xmlns:tp="java:language_builtins.lang" type="tp:ArrayOfString"
    name="errorMessages" minOccurs="1" maxOccurs="1" nillable="true">
    </xsd:element>
                                       <xsd:element type="xsd:int"
    name="id" minOccurs="1" maxOccurs="1">
    </xsd:element>
                                       <xsd:element
    xmlns:tp="java:language_builtins.util" type="tp:ArrayList"
    name="messageList" minOccurs="1" maxOccurs="1" nillable="true">
    </xsd:element>
                                       <xsd:element type="xsd:int"
    name="recordStatus" minOccurs="1" maxOccurs="1">
    </xsd:element>
                                       <xsd:element type="xsd:string"
    name="tag" minOccurs="1" maxOccurs="1" nillable="true">
    </xsd:element>
                                       <xsd:element type="xsd:string"
    name="text" minOccurs="1" maxOccurs="1" nillable="true">
    </xsd:element>
                                       <xsd:element type="xsd:int"
    name="type" minOccurs="1" maxOccurs="1">
    </xsd:element>
                                       <xsd:element type="xsd:int"
    name="version" minOccurs="1" maxOccurs="1">
    </xsd:element>
                                  </xsd:sequence>
                             </xsd:extension>
                        </xsd:complexContent>
                   </xsd:complexType>
                   <xsd:complexType name="Notes">
                        <xsd:complexContent>
                             <xsd:extension base="stns:NotesHelper">
    </xsd:extension>
                        </xsd:complexContent>
                   </xsd:complexType>
                   <xsd:complexType name="NotesHelper" abstract="true">
                        <xsd:complexContent>
                             <xsd:extension
    xmlns:tp="java:com.starcomsoft.pp.database" base="tp:BaseHelper">
                                  <xsd:sequence>
                                       <xsd:element type="xsd:int"
    name="customerId" minOccurs="1" maxOccurs="1">
    </xsd:element>
                                       <xsd:element type="xsd:dateTime"
    name="date" minOccurs="1" maxOccurs="1" nillable="true">
    </xsd:element>
                                       <xsd:element
    xmlns:tp="java:language_builtins.lang" type="tp:ArrayOfString"
    name="errorMessages" minOccurs="1" maxOccurs="1" nillable="true">
    </xsd:element>
                                       <xsd:element type="xsd:int"
    name="id" minOccurs="1" maxOccurs="1">
    </xsd:element>
                                       <xsd:element
    xmlns:tp="java:language_builtins.util" type="tp:ArrayList"
    name="messageList" minOccurs="1" maxOccurs="1" nillable="true">
    </xsd:element>
                                       <xsd:element type="xsd:string"
    name="owner" minOccurs="1" maxOccurs="1" nillable="true">
    </xsd:element>
                                       <xsd:element type="xsd:int"
    name="recordStatus" minOccurs="1" maxOccurs="1">
    </xsd:element>
                                       <xsd:element type="xsd:string"
    name="reference" minOccurs="1" maxOccurs="1" nillable="true">
    </xsd:element>
                                       <xsd:element type="xsd:int"
    name="subtype" minOccurs="1" maxOccurs="1">
    </xsd:element>
                                       <xsd:element type="xsd:string"
    name="text" minOccurs="1" maxOccurs="1" nillable="true">
    </xsd:element>
                                       <xsd:element type="xsd:int"
    name="type" minOccurs="1" maxOccurs="1">
    </xsd:element>
                                       <xsd:element type="xsd:int"
    name="version" minOccurs="1" maxOccurs="1">
    </xsd:element>
                                  </xsd:sequence>
                             </xsd:extension>
                        </xsd:complexContent>
                   </xsd:complexType>
                   <xsd:complexType name="Profile">
                        <xsd:complexContent>
                             <xsd:extension base="stns:ProfileHelper">
    </xsd:extension>
                        </xsd:complexContent>
                   </xsd:complexType>
                   <xsd:complexType name="ProfileHelper" abstract="true">
                        <xsd:complexContent>
                             <xsd:extension
    xmlns:tp="java:com.starcomsoft.pp.database" base="tp:BaseHelper">
                                  <xsd:sequence>
                                       <xsd:element type="xsd:int"
    name="customerId" minOccurs="1" maxOccurs="1">
    </xsd:element>
                                       <xsd:element
    xmlns:tp="java:language_builtins.lang" type="tp:ArrayOfString"
    name="errorMessages" minOccurs="1" maxOccurs="1" nillable="true">
    </xsd:element>
                                       <xsd:element type="xsd:int"
    name="id" minOccurs="1" maxOccurs="1">
    </xsd:element>
                                       <xsd:element
    xmlns:tp="java:language_builtins.util" type="tp:ArrayList"
    name="messageList" minOccurs="1" maxOccurs="1" nillable="true">
    </xsd:element>
                                       <xsd:element type="xsd:int"
    name="numericInfo" minOccurs="1" maxOccurs="1">
    </xsd:element>
                                       <xsd:element
    type="stns:ProfileInfo" name="profileInfoData" minOccurs="1" maxOccurs="1"
    nillable="true">
    </xsd:element>
                                       <xsd:element type="xsd:int"
    name="profileInfoId" minOccurs="1" maxOccurs="1">
    </xsd:element>
                                       <xsd:element type="xsd:int"
    name="recordStatus" minOccurs="1" maxOccurs="1">
    </xsd:element>
                                       <xsd:element type="xsd:string"
    name="textInfo" minOccurs="1" maxOccurs="1" nillable="true">
    </xsd:element>
                                       <xsd:element type="xsd:int"
    name="version" minOccurs="1" maxOccurs="1">
    </xsd:element>
                                  </xsd:sequence>
                             </xsd:extension>
                        </xsd:complexContent>
                   </xsd:complexType>
                   <xsd:complexType name="ProfileInfo">
                        <xsd:complexContent>
                             <xsd:extension base="stns:ProfileInfoHelper">
    </xsd:extension>
                        </xsd:complexContent>
                   </xsd:complexType>
                   <xsd:complexType name="ProfileInfoHelper"
    abstract="true">
                        <xsd:complexContent>
                             <xsd:extension
    xmlns:tp="java:com.starcomsoft.pp.database" base="tp:BaseHelper">
                                  <xsd:sequence>
                                       <xsd:element type="xsd:string"
    name="domainValueName" minOccurs="1" maxOccurs="1" nillable="true">
    </xsd:element>
                                       <xsd:element
    xmlns:tp="java:language_builtins.lang" type="tp:ArrayOfString"
    name="errorMessages" minOccurs="1" maxOccurs="1" nillabl

    Bruce,
    Sorry, I forgot to mention that the WSDL was generated by querying the URL
    of the deployed webservice. If there is something missing from the WSDL,
    it may be due to servicegen, or a problem with deployement. All of this
    used to work in WLS 7.0 sp1.
    I submitted this case (459937) to BEA Support on 11/12/03 and have given
    them my EJB jar file so they can reproduce the problem using servicegen.
    I would appreciate any input you can provide to resolve this problem, but
    you can let Support handle it from here if you wish.
    Thanks,
    Tony
    [email protected] (Bruce Stephens) wrote:
    Hi Tony,
    Could you clarify your starting point; i.e. do you begin with WSDL or
    from java?
    In looking at your WSDL, on the surface I'm concerned that the imports
    should be something like <xsd:import namespace="..."
    schemaLocation="myschema.xsd"/> so it may not be including your
    definitions.
    Thanks,
    Bruce
    Tony Huang wrote:
    I have datatypes that extend classes in a different package. When I
    use WLS81 ANT task clientgen to generate client-jars from WSDL files
    obtained from web services generated by servicegen, the superclasses
    are not fully qualified in the generated java code, nor are there
    import statements for the superclasses. This causes the generated
    code to have compile errors. Other dependencies are fully qualified in
    the generated code, but the superclass is not.
    There mayThe WSDL is included below. Specifically,
    com.starcomsoft.pp.database.BaseHelper does not get a fully qualifed
    reference in the generated java code.

  • Sequence created in anon PL/SQL missing initial value

    If I create a sequence in an anonymous PL/SQL block, with execute immediate, then perform a select nextval from that sequence outside the block, it misses it's initial value.
    Easily reproducable here:
    create table tab_a ( col_a number(4) not null );
    begin
      execute immediate 'create sequence seq_a start with 47 increment by 1';
    end;
    insert into tab_a select seq_a.nextval from dual;
    select * from tab_a;
    This is a much simplified representation of the circumstance I found myself in, but it reproduces the effect I see.
    If I drop the sequence and truncate the table, and run the statements (excluding the first) again, it works as expected. If I drop the table and run all the statements again, it returns the wrong answer again.
    I don't need a workaround, the issue has been addressed long ago, but I would like to know WHY this happens. starting the sequence at 47 can be any number, it's there just to show the issue when 48 is inserted into the table. The insert could be into any table, and the from could be over any table rather than dual.
    Oracle EE 11.2.0.1.0 on OE Linux, RAC and Single node.
    TIA.

    Can you show the output you get like this? Mine is a 10g DB. But sure it would work in 11g DB as well.
    SQL> select * from v$version where rownum = 1;
    BANNER
    Oracle Database 10g Enterprise Edition Release 10.2.0.5.0 - 64bi
    SQL> drop table t;
    Table dropped.
    SQL> create table t (no integer not null);
    Table created.
    SQL> begin
      2     execute immediate 'create sequence seq start with 47 increment by 1';
      3  end;
      4  /
    PL/SQL procedure successfully completed.
    SQL> insert into t select seq.nextval from dual;
    1 row created.
    SQL> select * from t;
            NO
            47
    SQL>

  • Sequence Crashing in 10.0.3

    I've been working in FCPX on a 30min show & now all of a sudden the sequence is crashing. other sequence's are working fine. but when I try to open the one im working on right now it keeps crashing.
    I've uninstalled & reinstalled FCPX same issue. it does the same when I put it on other Macs in the office.
    this is what I get....
    Process:         Final Cut Pro [556]
    Path:            /Applications/Final Cut Pro.app/Contents/MacOS/Final Cut Pro
    Identifier:      com.apple.FinalCut
    Version:         10.0.3 (192356)
    Build Info:      ProEditor-192350600~1
    App Item ID:     424389933
    App External ID: 5878386
    Code Type:       X86-64 (Native)
    Parent Process:  launchd [164]
    Date/Time:       2012-04-06 17:27:43.032 -0500
    OS Version:      Mac OS X 10.7.3 (11D50)
    Report Version:  9
    Interval Since Last Report:          293045 sec
    Crashes Since Last Report:           17
    Per-App Interval Since Last Report:  247482 sec
    Per-App Crashes Since Last Report:   6
    Anonymous UUID:                      33AFE1E0-2200-42FC-9365-7F302C5E8BBE
    Crashed Thread:  64  Dispatch queue: com.apple.root.default-priority
    Exception Type:  EXC_BAD_ACCESS (SIGSEGV)
    Exception Codes: KERN_INVALID_ADDRESS at 0xfffffff956a874f0
    VM Regions Near 0xfffffff956a874f0:
    --> shared memory          00007fffffe00000-00007fffffe02000 [    8K] r-x/r-x SM=SHM 
    Application Specific Information:
    objc[556]: garbage collection is OFF
    Thread 0:: Dispatch queue: com.apple.main-thread
    0   libobjc.A.dylib                         0x00007fff8f845e99 objc_msgSend + 25
    1   com.apple.iLifeMediaBrowser             0x00007fff96f3e189 -[ILMediaGroup initWithTypeIdentifier:] + 285
    2   com.apple.iLMBFinalCutPlugin            0x000000011b0ae717 0x11b0a8000 + 26391
    3   com.apple.iLMBFinalCutPlugin            0x000000011b0aea1e 0x11b0a8000 + 27166
    4   com.apple.iLMBFinalCutPlugin            0x000000011b0abd23 0x11b0a8000 + 15651
    5   com.apple.iLMBFinalCutPlugin            0x000000011b0a9dd5 0x11b0a8000 + 7637
    6   com.apple.iLMBFinalCutPlugin            0x000000011b0ad77b 0x11b0a8000 + 22395
    7   com.apple.iLifeMediaBrowser             0x00007fff96f699a5 -[ILMediaBrowserPathWatcher notifyObserverThreaded:] + 231
    8   com.apple.CoreFoundation                0x00007fff932ad75d -[NSObject performSelector:withObject:] + 61
    9   com.apple.Foundation                    0x00007fff8d14ed94 __NSThreadPerformPerform + 214
    10  com.apple.CoreFoundation                0x00007fff9322c6e1 __CFRUNLOOP_IS_CALLING_OUT_TO_A_SOURCE0_PERFORM_FUNCTION__ + 17
    11  com.apple.CoreFoundation                0x00007fff9322bf4d __CFRunLoopDoSources0 + 253
    12  com.apple.CoreFoundation                0x00007fff93252d39 __CFRunLoopRun + 905
    13  com.apple.CoreFoundation                0x00007fff93252676 CFRunLoopRunSpecific + 230
    14  com.apple.HIToolbox                     0x00007fff8e42f31f RunCurrentEventLoopInMode + 277
    15  com.apple.HIToolbox                     0x00007fff8e43651b ReceiveNextEventCommon + 181
    16  com.apple.HIToolbox                     0x00007fff8e436456 BlockUntilNextEventMatchingListInMode + 62
    17  com.apple.AppKit                        0x0000000101e51f5d _DPSNextEvent + 659
    18  com.apple.AppKit                        0x0000000101e51861 -[NSApplication nextEventMatchingMask:untilDate:inMode:dequeue:] + 135
    19  com.apple.AppKit                        0x0000000101e4e19d -[NSApplication run] + 470
    20  com.apple.prokit                        0x000000010030b3a6 NSProApplicationMain + 387
    21  com.apple.FinalCut                      0x0000000100001568 start + 52
    Thread 1:: Dispatch queue: com.apple.libdispatch-manager
    0   libsystem_kernel.dylib                  0x00007fff98482e06 __select_nocancel + 10
    1   libdispatch.dylib                       0x00007fff8f4f531e _dispatch_mgr_invoke + 251
    2   libdispatch.dylib                       0x00007fff8f4f414e _dispatch_mgr_thread + 54
    Thread 2:
    0   libsystem_kernel.dylib                  0x00007fff98482bca __psynch_cvwait + 10
    1   libsystem_c.dylib                       0x00007fff8f973274 _pthread_cond_wait + 840
    2   com.apple.progl.framework               0x0000000101b04029 (anonymous namespace)::threadFunc(void*) + 61
    3   com.apple.procore.framework             0x0000000100165cf0 PCThread::startup(void*) + 18
    4   libsystem_c.dylib                       0x00007fff8f96f8bf _pthread_start + 335
    5   libsystem_c.dylib                       0x00007fff8f972b75 thread_start + 13
    Thread 3:: com.apple.flexo.throttled-scheduled-io
    0   libsystem_kernel.dylib                  0x00007fff98482bca __psynch_cvwait + 10
    1   libsystem_c.dylib                       0x00007fff8f973274 _pthread_cond_wait + 840
    2   com.apple.Flexo                         0x000000010088c808 Synchronizable::Wait() + 56
    3   com.apple.Fig.provider                  0x000000010a1532d8 0x10a125000 + 189144
    4   com.apple.Flexo                         0x000000010089560a Thread::RunHelper(void*) + 42
    5   libsystem_c.dylib                       0x00007fff8f96f8bf _pthread_start + 335
    6   libsystem_c.dylib                       0x00007fff8f972b75 thread_start + 13
    Thread 4:: CVDisplayLink
    0   libsystem_kernel.dylib                  0x00007fff98482bca __psynch_cvwait + 10
    1   libsystem_c.dylib                       0x00007fff8f9732a6 _pthread_cond_wait + 890
    2   com.apple.CoreVideo                     0x00007fff960b863f CVDisplayLink::waitUntil(unsigned long long) + 279
    3   com.apple.CoreVideo                     0x00007fff960b7aa5 CVDisplayLink::runIOThread() + 559
    4   com.apple.CoreVideo                     0x00007fff960b785d _ZL13startIOThreadPv + 148
    5   libsystem_c.dylib                       0x00007fff8f96f8bf _pthread_start + 335
    6   libsystem_c.dylib                       0x00007fff8f972b75 thread_start + 13
    Thread 5:: CVDisplayLink
    0   libsystem_kernel.dylib                  0x00007fff98482bca __psynch_cvwait + 10
    1   libsystem_c.dylib                       0x00007fff8f9732a6 _pthread_cond_wait + 890
    2   com.apple.CoreVideo                     0x00007fff960b863f CVDisplayLink::waitUntil(unsigned long long) + 279
    3   com.apple.CoreVideo                     0x00007fff960b7aa5 CVDisplayLink::runIOThread() + 559
    4   com.apple.CoreVideo                     0x00007fff960b785d _ZL13startIOThreadPv + 148
    5   libsystem_c.dylib                       0x00007fff8f96f8bf _pthread_start + 335
    6   libsystem_c.dylib                       0x00007fff8f972b75 thread_start + 13
    Thread 6:: MIO Mounting Thread
    0   libsystem_kernel.dylib                  0x00007fff98482bca __psynch_cvwait + 10
    1   libsystem_c.dylib                       0x00007fff8f9732a6 _pthread_cond_wait + 890
    2   com.apple.Foundation                    0x00007fff8d188a80 -[NSCondition waitUntilDate:] + 371
    3   com.apple.Foundation                    0x00007fff8d152252 -[NSConditionLock lockWhenCondition:beforeDate:] + 251
    4   com.apple.proapps.MIO                   0x0000000101777cdc -[PluginLockPair scanPaths] + 284
    5   com.apple.Foundation                    0x00007fff8d15974e -[NSThread main] + 68
    6   com.apple.Foundation                    0x00007fff8d1596c6 __NSThread__main__ + 1575
    7   libsystem_c.dylib                       0x00007fff8f96f8bf _pthread_start + 335
    8   libsystem_c.dylib                       0x00007fff8f972b75 thread_start + 13
    Thread 7:: MIO Mounting Thread
    0   libsystem_kernel.dylib                  0x00007fff98482bca __psynch_cvwait + 10
    1   libsystem_c.dylib                       0x00007fff8f9732a6 _pthread_cond_wait + 890
    2   com.apple.Foundation                    0x00007fff8d188a80 -[NSCondition waitUntilDate:] + 371
    3   com.apple.Foundation                    0x00007fff8d152252 -[NSConditionLock lockWhenCondition:beforeDate:] + 251
    4   com.apple.proapps.MIO                   0x0000000101777cdc -[PluginLockPair scanPaths] + 284
    5   com.apple.Foundation                    0x00007fff8d15974e -[NSThread main] + 68
    6   com.apple.Foundation                    0x00007fff8d1596c6 __NSThread__main__ + 1575
    7   libsystem_c.dylib                       0x00007fff8f96f8bf _pthread_start + 335
    8   libsystem_c.dylib                       0x00007fff8f972b75 thread_start + 13
    Thread 8:: MIO Mounting Thread
    0   libsystem_kernel.dylib                  0x00007fff98482bca __psynch_cvwait + 10
    1   libsystem_c.dylib                       0x00007fff8f9732a6 _pthread_cond_wait + 890
    2   com.apple.Foundation                    0x00007fff8d188a80 -[NSCondition waitUntilDate:] + 371
    3   com.apple.Foundation                    0x00007fff8d152252 -[NSConditionLock lockWhenCondition:beforeDate:] + 251
    4   com.apple.proapps.MIO                   0x0000000101777cdc -[PluginLockPair scanPaths] + 284
    5   com.apple.Foundation                    0x00007fff8d15974e -[NSThread main] + 68
    6   com.apple.Foundation                    0x00007fff8d1596c6 __NSThread__main__ + 1575
    7   libsystem_c.dylib                       0x00007fff8f96f8bf _pthread_start + 335
    8   libsystem_c.dylib                       0x00007fff8f972b75 thread_start + 13
    Thread 9:: MIO Mounting Thread
    0   libsystem_kernel.dylib                  0x00007fff98482bca __psynch_cvwait + 10
    1   libsystem_c.dylib                       0x00007fff8f9732a6 _pthread_cond_wait + 890
    2   com.apple.Foundation                    0x00007fff8d188a80 -[NSCondition waitUntilDate:] + 371
    3   com.apple.Foundation                    0x00007fff8d152252 -[NSConditionLock lockWhenCondition:beforeDate:] + 251
    4   com.apple.proapps.MIO                   0x0000000101777cdc -[PluginLockPair scanPaths] + 284
    5   com.apple.Foundation                    0x00007fff8d15974e -[NSThread main] + 68
    6   com.apple.Foundation                    0x00007fff8d1596c6 __NSThread__main__ + 1575
    7   libsystem_c.dylib                       0x00007fff8f96f8bf _pthread_start + 335
    8   libsystem_c.dylib                       0x00007fff8f972b75 thread_start + 13
    Thread 10:: MIO Mounting Thread
    0   libsystem_kernel.dylib                  0x00007fff98482bca __psynch_cvwait + 10
    1   libsystem_c.dylib                       0x00007fff8f9732a6 _pthread_cond_wait + 890
    2   com.apple.Foundation                    0x00007fff8d188a80 -[NSCondition waitUntilDate:] + 371
    3   com.apple.Foundation                    0x00007fff8d152252 -[NSConditionLock lockWhenCondition:beforeDate:] + 251
    4   com.apple.proapps.MIO                   0x0000000101777cdc -[PluginLockPair scanPaths] + 284
    5   com.apple.Foundation                    0x00007fff8d15974e -[NSThread main] + 68
    6   com.apple.Foundation                    0x00007fff8d1596c6 __NSThread__main__ + 1575
    7   libsystem_c.dylib                       0x00007fff8f96f8bf _pthread_start + 335
    8   libsystem_c.dylib                       0x00007fff8f972b75 thread_start + 13
    Thread 11:: com.apple.dvdplayback-DDPTask
    0   libsystem_kernel.dylib                  0x00007fff984816b6 semaphore_wait_trap + 10
    1   com.apple.AVCHDPlugin                   0x000000012ece2d67 semaphore_wait(viona_semaphore_t*) + 55
    2   com.apple.AVCHDPlugin                   0x000000012ecf0310 WinPortServer::ProcessMessages() + 768
    3   com.apple.AVCHDPlugin                   0x000000012ec74753 DDP_Init + 723
    4   com.apple.AVCHDPlugin                   0x000000012ece2570 ST20Thread::Run(PThreadRunParams*) + 32
    5   libsystem_c.dylib                       0x00007fff8f971768 _pthread_body + 59
    Thread 12:: com.apple.helium-unit
    0   libsystem_kernel.dylib                  0x00007fff98482bf2 __psynch_mutexwait + 10
    1   libsystem_c.dylib                       0x00007fff8f96e1a1 pthread_mutex_lock + 545
    2   com.apple.Helium.HeliumRender           0x0000000104666d82 hg_pthread_loop(void*) + 98
    3   libsystem_c.dylib                       0x00007fff8f96f8bf _pthread_start + 335
    4   libsystem_c.dylib                       0x00007fff8f972b75 thread_start + 13
    Thread 13:: com.apple.helium-unit
    0   libsystem_kernel.dylib                  0x00007fff98482bf2 __psynch_mutexwait + 10
    1   libsystem_c.dylib                       0x00007fff8f96e1a1 pthread_mutex_lock + 545
    2   com.apple.Helium.HeliumRender           0x0000000104666d82 hg_pthread_loop(void*) + 98
    3   libsystem_c.dylib                       0x00007fff8f96f8bf _pthread_start + 335
    4   libsystem_c.dylib                       0x00007fff8f972b75 thread_start + 13
    Thread 14:: com.apple.helium-unit
    0   libsystem_kernel.dylib                  0x00007fff98482bf2 __psynch_mutexwait + 10
    1   libsystem_c.dylib                       0x00007fff8f96e1a1 pthread_mutex_lock + 545
    2   com.apple.Helium.HeliumRender           0x0000000104666d82 hg_pthread_loop(void*) + 98
    3   libsystem_c.dylib                       0x00007fff8f96f8bf _pthread_start + 335
    4   libsystem_c.dylib                       0x00007fff8f972b75 thread_start + 13
    Thread 15:: com.apple.helium-unit
    0   libsystem_kernel.dylib                  0x00007fff98482bf2 __psynch_mutexwait + 10
    1   libsystem_c.dylib                       0x00007fff8f96e1a1 pthread_mutex_lock + 545
    2   com.apple.Helium.HeliumRender           0x0000000104666d82 hg_pthread_loop(void*) + 98
    3   libsystem_c.dylib                       0x00007fff8f96f8bf _pthread_start + 335
    4   libsystem_c.dylib                       0x00007fff8f972b75 thread_start + 13
    Thread 16:: com.apple.helium-unit
    0   libsystem_kernel.dylib                  0x00007fff98482bf2 __psynch_mutexwait + 10
    1   libsystem_c.dylib                       0x00007fff8f96e1a1 pthread_mutex_lock + 545
    2   com.apple.Helium.HeliumRender           0x0000000104666d82 hg_pthread_loop(void*) + 98
    3   libsystem_c.dylib                       0x00007fff8f96f8bf _pthread_start + 335
    4   libsystem_c.dylib                       0x00007fff8f972b75 thread_start + 13
    Thread 17:: com.apple.helium-unit
    0   libsystem_kernel.dylib                  0x00007fff98482bf2 __psynch_mutexwait + 10
    1   libsystem_c.dylib                       0x00007fff8f96e1a1 pthread_mutex_lock + 545
    2   com.apple.Helium.HeliumRender           0x0000000104666d82 hg_pthread_loop(void*) + 98
    3   libsystem_c.dylib                       0x00007fff8f96f8bf _pthread_start + 335
    4   libsystem_c.dylib                       0x00007fff8f972b75 thread_start + 13
    Thread 18:: com.apple.helium-unit
    0   libsystem_kernel.dylib                  0x00007fff98482bf2 __psynch_mutexwait + 10
    1   libsystem_c.dylib                       0x00007fff8f96e1a1 pthread_mutex_lock + 545
    2   com.apple.Helium.HeliumRender           0x0000000104666d82 hg_pthread_loop(void*) + 98
    3   libsystem_c.dylib                       0x00007fff8f96f8bf _pthread_start + 335
    4   libsystem_c.dylib                       0x00007fff8f972b75 thread_start + 13
    Thread 19:: com.apple.helium-unit
    0   libsystem_kernel.dylib                  0x00007fff98482bf2 __psynch_mutexwait + 10
    1   libsystem_c.dylib                       0x00007fff8f96e1a1 pthread_mutex_lock + 545
    2   com.apple.Helium.HeliumRender           0x0000000104666d82 hg_pthread_loop(void*) + 98
    3   libsystem_c.dylib                       0x00007fff8f96f8bf _pthread_start + 335
    4   libsystem_c.dylib                       0x00007fff8f972b75 thread_start + 13
    Thread 20:
    0   libsystem_kernel.dylib                  0x00007fff9848167a mach_msg_trap + 10
    1   libsystem_kernel.dylib                  0x00007fff98480d71 mach_msg + 73
    2   com.apple.CoreFoundation                0x00007fff9324a6fc __CFRunLoopServiceMachPort + 188
    3   com.apple.CoreFoundation                0x00007fff93252e64 __CFRunLoopRun + 1204
    4   com.apple.CoreFoundation                0x00007fff93252676 CFRunLoopRunSpecific + 230
    5   com.apple.CoreFoundation                0x00007fff9326238f CFRunLoopRun + 95
    6   com.apple.qmaster.do                    0x0000000121c4e461 swamp::CRAdReceiver2::ReceivingThread::runOnce() + 187
    7   com.apple.AECore                        0x000000010a4be49f aecore::CThread::runThread() + 35
    8   com.apple.AECore                        0x000000010a4bc470 threadProc(void*) + 9
    9   libsystem_c.dylib                       0x00007fff8f96f8bf _pthread_start + 335
    10  libsystem_c.dylib                       0x00007fff8f972b75 thread_start + 13
    Thread 21:
    0   libsystem_kernel.dylib                  0x00007fff98482df2 __select + 10
    1   com.apple.AECore                        0x000000010a4be4cc aecore::CThread::runThread() + 80
    2   com.apple.AECore                        0x000000010a4bc470 threadProc(void*) + 9
    3   libsystem_c.dylib                       0x00007fff8f96f8bf _pthread_start + 335
    4   libsystem_c.dylib                       0x00007fff8f972b75 thread_start + 13
    Thread 22:: com.apple.CFSocket.private
    0   libsystem_kernel.dylib                  0x00007fff98482df2 __select + 10
    1   com.apple.CoreFoundation                0x00007fff9329bcdb __CFSocketManager + 1355
    2   libsystem_c.dylib                       0x00007fff8f96f8bf _pthread_start + 335
    3   libsystem_c.dylib                       0x00007fff8f972b75 thread_start + 13
    Thread 23:: com.apple.NSURLConnectionLoader
    0   libsystem_kernel.dylib                  0x00007fff9848167a mach_msg_trap + 10
    1   libsystem_kernel.dylib                  0x00007fff98480d71 mach_msg + 73
    2   com.apple.CoreFoundation                0x00007fff9324a6fc __CFRunLoopServiceMachPort + 188
    3   com.apple.CoreFoundation                0x00007fff93252e64 __CFRunLoopRun + 1204
    4   com.apple.CoreFoundation                0x00007fff93252676 CFRunLoopRunSpecific + 230
    5   com.apple.Foundation                    0x00007fff8d164ffb +[NSURLConnection(NSURLConnectionReallyInternal) _resourceLoadLoop:] + 335
    6   com.apple.Foundation                    0x00007fff8d15974e -[NSThread main] + 68
    7   com.apple.Foundation                    0x00007fff8d1596c6 __NSThread__main__ + 1575
    8   libsystem_c.dylib                       0x00007fff8f96f8bf _pthread_start + 335
    9   libsystem_c.dylib                       0x00007fff8f972b75 thread_start + 13
    Thread 24:: Dispatch queue: com.apple.root.default-priority
    0   com.apple.Helium.HeliumRender           0x00000001046bf5c6 GetAffineLinearTile_SSE4(HGTile*, HgcSampler::State const*, HGNode*) + 358
    1   com.apple.Helium.HeliumRender           0x000000010464bc63 HGSampler::GetTile(HGBitmap*, HGTransform*, __m128*, HGRect, int) + 7539
    2   com.apple.Helium.HeliumRender           0x0000000104649ed0 HGSampler::ReadTile(HGBitmap*, HGTransform*, __m128*, HGRect, int) + 32
    3   com.apple.Helium.HeliumRender           0x0000000104605f74 HGXForm::RenderTile(HGTile*) + 420
    4   com.apple.Helium.HeliumRender           0x0000000104643aa4 HGRenderer::RenderTile(HGExecutionUnit*, __m128*, HGRect, HGNode*, int) + 2532
    5   com.apple.Helium.HeliumRender           0x00000001046440ce HGRenderer::RenderTile(HGExecutionUnit*, __m128*, HGRect, HGNode*, int) + 4110
    6   com.apple.Helium.HeliumRender           0x00000001046433f5 HGRenderer::RenderTile(HGExecutionUnit*, __m128*, HGRect, HGNode*, int) + 821
    7   com.apple.Helium.HeliumRender           0x00000001046440ce HGRenderer::RenderTile(HGExecutionUnit*, __m128*, HGRect, HGNode*, int) + 4110
    8   com.apple.Helium.HeliumRender           0x00000001046440ce HGRenderer::RenderTile(HGExecutionUnit*, __m128*, HGRect, HGNode*, int) + 4110
    9   com.apple.Helium.HeliumRender           0x00000001046440ce HGRenderer::RenderTile(HGExecutionUnit*, __m128*, HGRect, HGNode*, int) + 4110
    10  com.apple.Helium.HeliumRender           0x00000001046440ce HGRenderer::RenderTile(HGExecutionUnit*, __m128*, HGRect, HGNode*, int) + 4110
    11  com.apple.Helium.HeliumRender           0x00000001046440ce HGRenderer::RenderTile(HGExecutionUnit*, __m128*, HGRect, HGNode*, int) + 4110
    12  com.apple.Helium.HeliumRender           0x00000001046440ce HGRenderer::RenderTile(HGExecutionUnit*, __m128*, HGRect, HGNode*, int) + 4110
    13  com.apple.Helium.HeliumRender           0x00000001046440ce HGRenderer::RenderTile(HGExecutionUnit*, __m128*, HGRect, HGNode*, int) + 4110
    14  com.apple.Helium.HeliumRender           0x00000001046440ce HGRenderer::RenderTile(HGExecutionUnit*, __m128*, HGRect, HGNode*, int) + 4110
    15  com.apple.Helium.HeliumRender           0x00000001046440ce HGRenderer::RenderTile(HGExecutionUnit*, __m128*, HGRect, HGNode*, int) + 4110
    16  com.apple.Helium.HeliumRender           0x00000001046433f5 HGRenderer::RenderTile(HGExecutionUnit*, __m128*, HGRect, HGNode*, int) + 821
    17  com.apple.Helium.HeliumRender           0x00000001046440ce HGRenderer::RenderTile(HGExecutionUnit*, __m128*, HGRect, HGNode*, int) + 4110
    18  com.apple.Helium.HeliumRender           0x00000001046440ce HGRenderer::RenderTile(HGExecutionUnit*, __m128*, HGRect, HGNode*, int) + 4110
    19  com.apple.Helium.HeliumRender           0x0000000104666350 ExecuteUnitGCD(void*) + 528
    20  libdispatch.dylib                       0x00007fff8f4f4799 _dispatch_worker_thread2 + 255
    21  libsystem_c.dylib                       0x00007fff8f9713da _pthread_wqthread + 316
    22  libsystem_c.dylib                       0x00007fff8f972b85 start_wqthread + 13
    Thread 25:: com.apple.coremedia.scheduledfileio
    0   libsystem_kernel.dylib                  0x00007fff98482bca __psynch_cvwait + 10
    1   libsystem_c.dylib                       0x00007fff8f973274 _pthread_cond_wait + 840
    2   com.apple.CoreMedia.ProAppsSupport          0x000000010029fc3d WaitOnCondition + 14
    3   com.apple.CoreMedia.ProAppsSupport          0x000000010029fe09 FigSemaphoreWaitRelative + 167
    4   com.apple.CoreMedia.ProAppsSupport          0x000000010029df16 FigScheduledFileIOThread + 5109
    5   com.apple.CoreMedia.ProAppsSupport          0x00000001002a0d16 figThreadMain + 397
    6   libsystem_c.dylib                       0x00007fff8f96f8bf _pthread_start + 335
    7   libsystem_c.dylib                       0x00007fff8f972b75 thread_start + 13
    Thread 26:: com.apple.helium-texture-finish
    0   libsystem_kernel.dylib                  0x00007fff98482bca __psynch_cvwait + 10
    1   libsystem_c.dylib                       0x00007fff8f973274 _pthread_cond_wait + 840
    2   com.apple.Helium.HeliumRender           0x000000010464cc27 textureFinishThread(void*) + 183
    3   libsystem_c.dylib                       0x00007fff8f96f8bf _pthread_start + 335
    4   libsystem_c.dylib                       0x00007fff8f972b75 thread_start + 13
    Thread 27:: com.apple.helium-render-queue-exec-unit-gpu
    0   libsystem_kernel.dylib                  0x00007fff98482bca __psynch_cvwait + 10
    1   libsystem_c.dylib                       0x00007fff8f973274 _pthread_cond_wait + 840
    2   com.apple.Helium.HeliumRender           0x00000001046b14ae HGSynchronizable::Wait() + 46
    3   com.apple.Helium.HeliumRender           0x00000001045cafef HGRenderQueue::GetRenderJob(HGRenderExecUnit*, HGRenderJob**) + 207
    4   com.apple.Helium.HeliumRender           0x00000001045c27de HGRenderExecUnit::RunLoop() + 110
    5   com.apple.Helium.HeliumRender           0x00000001045c2764 StartRenderExecUnitFunc(void*) + 20
    6   libsystem_c.dylib                       0x00007fff8f96f8bf _pthread_start + 335
    7   libsystem_c.dylib                       0x00007fff8f972b75 thread_start + 13
    Thread 28:: com.apple.helium-render-queue-pbo-readback-unit
    0   libsystem_kernel.dylib                  0x00007fff98482bca __psynch_cvwait + 10
    1   libsystem_c.dylib                       0x00007fff8f973274 _pthread_cond_wait + 840
    2   com.apple.Helium.HeliumRender           0x00000001046b14ae HGSynchronizable::Wait() + 46
    3   com.apple.Helium.HeliumRender           0x00000001045cc920 HGRenderQueue::GetPBOReadbackJob(HGPBOReadbackExecUnit*, HGPBOReadbackJob**) + 80
    4   com.apple.Helium.HeliumRender           0x00000001045c14f5 StartPBOExecUnitFunc(void*) + 85
    5   libsystem_c.dylib                       0x00007fff8f96f8bf _pthread_start + 335
    6   libsystem_c.dylib                       0x00007fff8f972b75 thread_start + 13
    Thread 29:: com.apple.helium-render-queue-pbo-readback-unit
    0   libsystem_kernel.dylib                  0x00007fff98482bca __psynch_cvwait + 10
    1   libsystem_c.dylib                       0x00007fff8f973274 _pthread_cond_wait + 840
    2   com.apple.Helium.HeliumRender           0x00000001046b14ae HGSynchronizable::Wait() + 46
    3   com.apple.Helium.HeliumRender           0x00000001045cc920 HGRenderQueue::GetPBOReadbackJob(HGPBOReadbackExecUnit*, HGPBOReadbackJob**) + 80
    4   com.apple.Helium.HeliumRender           0x00000001045c14f5 StartPBOExecUnitFunc(void*) + 85
    5   libsystem_c.dylib                       0x00007fff8f96f8bf _pthread_start + 335
    6   libsystem_c.dylib                       0x00007fff8f972b75 thread_start + 13
    Thread 30:: BGTask: FFBackgroundRenderJob  Dispatch queue: com.apple.root.default-priority
    0   libsystem_kernel.dylib                  0x00007fff98482bca __psynch_cvwait + 10
    1   libsystem_c.dylib                       0x00007fff8f9732a6 _pthread_cond_wait + 890
    2   com.apple.Foundation                    0x00007fff8d188a80 -[NSCondition waitUntilDate:] + 371
    3   com.apple.Foundation                    0x00007fff8d152252 -[NSConditionLock lockWhenCondition:beforeDate:] + 251
    4   com.apple.Flexo                         0x00000001008fbdb4 -[FFRenderer renderRange:cancelAtRangeEnd:storageLocationsToWatch:] + 2884
    5   com.apple.Flexo                         0x0000000100d014d2 -[FFBackgroundRenderJob _renderOnTask:] + 1954
    6   com.apple.Flexo                         0x0000000100d00a0e -[FFBackgroundRenderJob renderToSegmentStore:onTask:] + 206
    7   com.apple.CoreFoundation                0x00007fff932b0591 -[NSObject performSelector:withObject:withObject:] + 65
    8   com.apple.Flexo                         0x000000010084bfdb -[FFBackgroundTask main] + 331
    9   com.apple.Foundation                    0x00007fff8d1476d8 -[__NSOperationInternal start] + 705
    10  com.apple.Foundation                    0x00007fff8d15a936 ____NSOQSchedule_block_invoke_2 + 124
    11  libdispatch.dylib                       0x00007fff8f4f38ba _dispatch_call_block_and_release + 18
    12  libdispatch.dylib                       0x00007fff8f4f4799 _dispatch_worker_thread2 + 255
    13  libsystem_c.dylib                       0x00007fff8f9713da _pthread_wqthread + 316
    14  libsystem_c.dylib                       0x00007fff8f972b85 start_wqthread + 13
    Thread 31:
    0   libsystem_kernel.dylib                  0x00007fff98483192 __workq_kernreturn + 10
    1   libsystem_c.dylib                       0x00007fff8f971594 _pthread_wqthread + 758
    2   libsystem_c.dylib                       0x00007fff8f972b85 start_wqthread + 13
    Thread 32:
    0   libsystem_kernel.dylib                  0x00007fff98483192 __workq_kernreturn + 10
    1   libsystem_c.dylib                       0x00007fff8f971594 _pthread_wqthread + 758
    2   libsystem_c.dylib                       0x00007fff8f972b85 start_wqthread + 13
    Thread 33:: com.apple.helium-render-queue-exec-unit-gpu
    0   libsystem_kernel.dylib                  0x00007fff98482bca __psynch_cvwait + 10
    1   libsystem_c.dylib                       0x00007fff8f973274 _pthread_cond_wait + 840
    2   com.apple.Helium.HeliumRender           0x00000001046b14ae HGSynchronizable::Wait() + 46
    3   com.apple.Helium.HeliumRender           0x00000001045cafef HGRenderQueue::GetRenderJob(HGRenderExecUnit*, HGRenderJob**) + 207
    4   com.apple.Helium.HeliumRender           0x00000001045c27de HGRenderExecUnit::RunLoop() + 110
    5   com.apple.Helium.HeliumRender           0x00000001045c2764 StartRenderExecUnitFunc(void*) + 20
    6   libsystem_c.dylib                       0x00007fff8f96f8bf _pthread_start + 335
    7   libsystem_c.dylib                       0x00007fff8f972b75 thread_start + 13
    Thread 34:: com.apple.helium-render-queue-pbo-readback-unit
    0   libsystem_kernel.dylib                  0x00007fff98482bca __psynch_cvwait + 10
    1   libsystem_c.dylib                       0x00007fff8f973274 _pthread_cond_wait + 840
    2   com.apple.Helium.HeliumRender           0x00000001046b14ae HGSynchronizable::Wait() + 46
    3   com.apple.Helium.HeliumRender           0x00000001045cc920 HGRenderQueue::GetPBOReadbackJob(HGPBOReadbackExecUnit*, HGPBOReadbackJob**) + 80
    4   com.apple.Helium.HeliumRender           0x00000001045c14f5 StartPBOExecUnitFunc(void*) + 85
    5   libsystem_c.dylib                       0x00007fff8f96f8bf _pthread_start + 335
    6   libsystem_c.dylib                       0x00007fff8f972b75 thread_start + 13
    Thread 35:: com.apple.helium-render-queue-pbo-readback-unit
    0   libsystem_kernel.dylib                  0x00007fff98482bca __psynch_cvwait + 10
    1   libsystem_c.dylib                       0x00007fff8f973274 _pthread_cond_wait + 840
    2   com.apple.Helium.HeliumRender           0x00000001046b14ae HGSynchronizable::Wait() + 46
    3   com.apple.Helium.HeliumRender           0x00000001045cc920 HGRenderQueue::GetPBOReadbackJob(HGPBOReadbackExecUnit*, HGPBOReadbackJob**) + 80
    4   com.apple.Helium.HeliumRender           0x00000001045c14f5 StartPBOExecUnitFunc(void*) + 85
    5   libsystem_c.dylib                       0x00007fff8f96f8bf _pthread_start + 335
    6   libsystem_c.dylib                       0x00007fff8f972b75 thread_start + 13
    Thread 36:: Dispatch queue: com.apple.root.default-priority
    0   com.apple.Flexo                         0x00000001007b362f -[FFEffect effectType] + 15
    1   com.apple.Flexo                         0x00000001007b42f8 -[FFEffect simplifiesToPassThruAtTime:forInputSource:sampleDur:context:channelOffset:] + 24
    2   com.apple.Flexo                         0x0000000100759ad4 -[FFStreamVideoEffect getPassThruAtTime:offset:sampleDur:context:channelOffset:] + 388
    3   com.apple.Flexo                         0x000000010075fb0d -[FFStreamVideoEffect newScheduleTokenAtTimeIgnoringCache:duration:context:] + 285
    4   com.apple.Flexo                         0x00000001007763ce -[FFStreamVideo newScheduleTokenAtTime:duration:context:] + 926
    5   com.apple.Flexo                         0x000000010075fe3e -[FFStreamVideoEffect newScheduleTokenAtTimeIgnoringCache:duration:context:] + 1102
    6   com.apple.Flexo                         0x00000001007763ce -[FFStreamVideo newScheduleTokenAtTime:duration:context:] + 926
    7   com.apple.Flexo                         0x000000010077502f -[FFStreamVideo newScheduleTokenAtTime:context:] + 111
    8   com.apple.Flexo                         0x00000001008c221d -[FFStreamVideoRetimingEffect newScheduleTokenAtTimeIgnoringCache:duration:context:] + 1101
    9   com.apple.Flexo                         0x00000001007763ce -[FFStreamVideo newScheduleTokenAtTime:duration:context:] + 926
    10  com.apple.Flexo                         0x000000010075fbeb -[FFStreamVideoEffect newScheduleTokenAtTimeIgnoringCache:duration:context:] + 507
    11  com.apple.Flexo                         0x00000001007763ce -[FFStreamVideo newScheduleTokenAtTime:duration:context:] + 926
    12  com.apple.Flexo                         0x000000010077502f -[FFStreamVideo newScheduleTokenAtTime:context:] + 111
    13  com.apple.Flexo                         0x00000001008c221d -[FFStreamVideoRetimingEffect newScheduleTokenAtTimeIgnoringCache:duration:context:] + 1101
    14  com.apple.Flexo                         0x00000001007763ce -[FFStreamVideo newScheduleTokenAtTime:duration:context:] + 926
    15  com.apple.Flexo                         0x0000000100cd5da1 -[FFAnchoredObjectSegmentedVideoStream newScheduleTokenAtTimeIgnoringCache:duration:context:] + 689
    16  com.apple.Flexo                         0x00000001007763ce -[FFStreamVideo newScheduleTokenAtTime:duration:context:] + 926
    17  com.apple.Flexo                         0x0000000100ef972a -[FFMCSwitcherVideoStream newScheduleTokenAtTimeIgnoringCache:duration:context:] + 122
    18  com.apple.Flexo                         0x00000001007763ce -[FFStreamVideo newScheduleTokenAtTime:duration:context:] + 926
    19  com.apple.Flexo                         0x000000010075fbeb -[FFStreamVideoEffect newScheduleTokenAtTimeIgnoringCache:duration:context:] + 507
    20  com.apple.Flexo                         0x00000001007763ce -[FFStreamVideo newScheduleTokenAtTime:duration:context:] + 926
    21  com.apple.Flexo                         0x0000000100cd5da1 -[FFAnchoredObjectSegmentedVideoStream newScheduleTokenAtTimeIgnoringCache:duration:context:] + 689
    22  com.apple.Flexo                         0x00000001007763ce -[FFStreamVideo newScheduleTokenAtTime:duration:context:] + 926
    23  com.apple.Flexo                         0x0000000100ef972a -[FFMCSwitcherVideoStream newScheduleTokenAtTimeIgnoringCache:duration:context:] + 122
    24  com.apple.Flexo                         0x00000001007763ce -[FFStreamVideo newScheduleTokenAtTime:duration:context:] + 926
    25  com.apple.Flexo                         0x0000000100ac60fb -[FFPlayerScheduledData _scheduleInternal:] + 859
    26  com.apple.Flexo                         0x0000000100ac040d -[FFPlayerScheduleDataScheduleOperation main] + 173
    27  com.apple.Foundation                    0x00007fff8d1476d8 -[__NSOperationInternal start] + 705
    28  com.apple.Foundation                    0x00007fff8d15a936 ____NSOQSchedule_block_invoke_2 + 124
    29  libdispatch.dylib                       0x00007fff8f4f38ba _dispatch_call_block_and_release + 18
    30  libdispatch.dylib                       0x00007fff8f4f4799 _dispatch_worker_thread2 + 255
    31  libsystem_c.dylib                       0x00007fff8f9713da _pthread_wqthread + 316
    32  libsystem_c.dylib                       0x00007fff8f972b85 start_wqthread + 13
    Thread 37:
    0   libsystem_kernel.dylib                  0x00007fff98483192 __workq_kernreturn + 10
    1   libsystem_c.dylib                       0x00007fff8f971594 _pthread_wqthread + 758
    2   libsystem_c.dylib                       0x00007fff8f972b85 start_wqthread + 13
    Thread 38:
    0   libsystem_kernel.dylib                  0x00007fff98483192 __workq_kernreturn + 10
    1   libsystem_c.dylib                       0x00007fff8f971594 _pthread_wqthread + 758
    2   libsystem_c.dylib                       0x00007fff8f972b85 start_wqthread + 13
    Thread 39:: Dispatch queue: com.apple.root.default-priority
    0   com.apple.VideoToolbox.ProAppsSupport          0x00000001039e1f8c JVTLibDecoDispose + 2755884
    1   com.apple.VideoToolbox.ProAppsSupport          0x00000001039e0b6d JVTLibDecoDispose + 2750733
    2   com.apple.VideoToolbox.ProAppsSupport          0x00000001039e4886 JVTLibDecoDispose + 2766374
    3   com.apple.VideoToolbox.ProAppsSupport          0x00000001039d24a9 JVTLibDecoDispose + 2691657
    4   com.apple.VideoToolbox.ProAppsSupport          0x0000000103720d29 VTImageRotationSessionCreate + 240467
    5   com.apple.VideoToolbox.ProAppsSupport          0x00000001037b6535 JVTLibDecoDispose + 479957
    6   com.apple.VideoToolbox.ProAppsSupport          0x000000010372f30e VTImageRotationSessionCreate + 299320
    7   com.apple.VideoToolbox.ProAppsSupport          0x0000000103725691 VTImageRotationSessionCreate + 259259
    8   com.apple.VideoToolbox.ProAppsSupport          0x00000001036f886f VTImageRotationSessionCreate + 75417
    9   com.apple.VideoToolbox.ProAppsSupport          0x0000000103732656 VTImageRotationSessionCreate + 312448
    10  com.apple.VideoToolbox.ProAppsSupport          0x00000001037478ea JVTLibDecoDispose + 26250
    11  com.apple.VideoToolbox.ProAppsSupport          0x00000001036977e6 H264VideoDecoder_CreateInstance + 9719
    12  com.apple.VideoToolbox.ProAppsSupport          0x000000010367c50f VTDecompressionSessionGetMinOutputPresentationTimeStampOfFramesBeingDecoded + 2184
    13  com.apple.VideoToolbox.ProAppsSupport          0x000000010367c835 VTDecompressionSessionDecodeFrame + 440
    14  com.apple.Fig.provider                  0x000000010a15df6e 0x10a125000 + 233326
    15  com.apple.Fig.provider                  0x000000010a15e2fa 0x10a125000 + 234234
    16  com.apple.Fig.provider                  0x000000010a14db0a 0x10a125000 + 166666
    17  com.apple.Foundation                    0x00007fff8d1476d8 -[__NSOperationInternal start] + 705
    18  com.apple.Foundation                    0x00007fff8d15a936 ____NSOQSchedule_block_invoke_2 + 124
    19  libdispatch.dylib                       0x00007fff8f4f38ba _dispatch_call_block_and_release + 18
    20  libdispatch.dylib                       0x00007fff8f4f4799 _dispatch_worker_thread2 + 255
    21  libsystem_c.dylib                       0x00007fff8f9713da _pthread_wqthread + 316
    22  libsystem_c.dylib                       0x00007fff8f972b85 start_wqthread + 13
    Thread 40:: Dispatch queue: com.apple.root.default-priority
    0   com.apple.Helium.HeliumRender           0x00000001046cd5eb hg_span_read_4f_xyzw(__m128*, int, void const*, __m128) + 11
    1   com.apple.Helium.HeliumRender           0x00000001046cfbb2 HGBitmap::ReadTile(void*, HGRect, int) + 1522
    2   com.apple.Helium.HeliumRender           0x00000001045eb5e3 HGBitmapLoader::RenderTile(HGTile*) + 67
    3   com.apple.Helium.HeliumRender           0x0000000104643aa4 HGRenderer::RenderTile(HGExecutionUnit*, __m128*, HGRect, HGNode*, int) + 2532
    4   com.apple.Helium.HeliumRender           0x00000001046440ce HGRenderer::RenderTile(HGExecutionUnit*, __m128*, HGRect, HGNode*, int) + 4110
    5   com.apple.Helium.HeliumRender           0x00000001046440ce HGRenderer::RenderTile(HGExecutionUnit*, __m128*, HGRect, HGNode*, int) + 4110
    6   com.apple.Helium.HeliumRender           0x00000001046440ce HGRenderer::RenderTile(HGExecutionUnit*, __m128*, HGRect, HGNode*, int) + 4110
    7   com.apple.Helium.HeliumRender           0x00000001046440ce HGRenderer::RenderTile(HGExecutionUnit*, __m128*, HGRect, HGNode*, int) + 4110
    8   com.apple.Helium.HeliumRender           0x00000001046440ce HGRenderer::RenderTile(HGExecutionUnit*, __m128*, HGRect, HGNode*, int) + 4110
    9   com.apple.Helium.HeliumRender           0x00000001046440ce HGRenderer::RenderTile(HGExecutionUnit*, __m128*, HGRect, HGNode*, int) + 4110
    10  com.apple.Helium.HeliumRender           0x00000001046440ce HGRenderer::RenderTile(HGExecutionUnit*, __m128*, HGRect, HGNode*, int) + 4110
    11  com.apple.Helium.HeliumRender           0x00000001046440ce HGRenderer::RenderTile(HGExecutionUnit*, __m128*, HGRect, HGNode*, int) + 4110
    12  com.apple.Helium.HeliumRender           0x00000001046433f5 HGRenderer::RenderTile(HGExecutionUnit*, __m128*, HGRect, HGNode*, int) + 821
    13  com.apple.Helium.HeliumRender           0x00000001046440ce HGRenderer::RenderTile(HGExecutionUnit*, __m128*, HGRect, HGNode*, int) + 4110
    14  com.apple.Helium.HeliumRender           0x00000001046440ce HGRenderer::RenderTile(HGExecutionUnit*, __m128*, HGRect, HGNode*, int) + 4110
    15  com.apple.Helium.HeliumRender           0x0000000104666350 ExecuteUnitGCD(void*) + 528
    16  libdispatch.dylib                       0x00007fff8f4f4799 _dispatch_worker_thread2 + 255
    17  libsystem_c.dylib                       0x00007fff8f9713da _pthread_wqthread + 316
    18  libsystem_c.dylib                       0x00007fff8f972b85 start_wqthread + 13
    Thread 41:
    0   libsystem_kernel.dylib                  0x00007fff98483192 __workq_kernreturn + 10
    1   libsystem_c.dylib                       0x00007fff8f971594 _pthread_wqthread + 758
    2   libsystem_c.dylib                       0x00007fff8f972b85 start_wqthread + 13
    Thread 42:
    0   libsystem_kernel.dylib                  0x00007fff98482bca __psynch_cvwait + 10
    1   libsystem_c.dylib                       0x00007fff8f973274 _pthread_cond_wait + 840
    2   Ozone                                   0x000000010ae43667 OZExecutionUnit::executeLoop() + 71
    3   com.apple.procore.framework             0x0000000100165cf0 PCThread::startup(void*) + 18
    4   libsystem_c.dylib                       0x00007fff8f96f8bf _pthread_start + 335
    5   libsystem_c.dylib                       0x00007fff8f972b75 thread_start + 13
    Thread 43:
    0   libsystem_kernel.dylib                  0x00007fff98482bca __psynch_cvwait + 10
    1   libsystem_c.dylib                       0x00007fff8f973274 _pthread_cond_wait + 840
    2   Ozone                                   0x000000010ae43667 OZExecutionUnit::executeLoop() + 71
    3   com.apple.procore.framework             0x0000000100165cf0 PCThread::startup(void*) + 18
    4   libsystem_c.dylib                       0x00007fff8f96f8bf _pthread_start + 335
    5   libsystem_c.dylib                       0x00007fff8f972b75 thread_start + 13
    Thread 44:
    0   libsystem_kernel.dylib                  0x00007fff98482bca __psynch_cvwait + 10
    1   libsystem_c.dylib                       0x00007fff8f973274 _pthread_cond_wait + 840
    2   Ozone                                   0x000000010ae43667 OZExecutionUnit::executeLoop() + 71
    3   com.apple.procore.framework             0x0000000100165cf0 PCThread::startup(void*) + 18
    4   libsystem_c.dylib                       0x00007fff8f96f8bf _pthread_start + 335
    5   libsystem_c.dylib                       0x00007fff8f972b75 thread_start + 13
    Thread 45:
    0   libsystem_kernel.dylib                  0x00007fff98482bca __psynch_cvwait + 10
    1   libsystem_c.dylib                       0x00007fff8f973274 _pthread_cond_wait + 840
    2   Ozone                                   0x000000010ae43667 OZExecutionUnit::executeLoop() + 71
    3   com.apple.procore.framework             0x0000000100165cf0 PCThread::startup(void*) + 18
    4   libsystem_c.dylib                       0x00007fff8f96f8bf _pthread_start + 335
    5   libsystem_c.dylib                       0x00007fff8f972b75 thread_start + 13
    Thread 46:
    0   libsystem_kernel.dylib                  0x00007fff98482bca __psynch_cvwait + 10
    1   libsystem_c.dylib                       0x00007fff8f973274 _pthread_cond_wait + 840
    2   Ozone                                   0x000000010ae43667 OZExecutionUnit::executeLoop() + 71
    3   com.apple.procore.framework             0x0000000100165cf0 PCThread::startup(void*) + 18
    4   libsystem_c.dylib                       0x00007fff8f96f8bf _pthread_start + 335
    5   libsystem_c.dylib                       0x00007fff8f972b75 thread_start + 13
    Thread 47:
    0   libsystem_kernel.dylib                  0x00007fff98482bca __psynch_cvwait + 10
    1   libsystem_c.dylib                       0x00007fff8f973274 _pthread_cond_wait + 840
    2   Ozone                                   0x000000010ae43667 OZExecutionUnit::executeLoop() + 71
    3   com.apple.procore.framework             0x0000000100165cf0 PCThread::startup(void*) + 18
    4   libsystem_c.dylib                       0x00007fff8f96f8bf _pthread_start + 335
    5   libsystem_c.dylib                       0x00007fff8f972b75 thread_start + 13
    Thread 48:: com.apple.coremedia.JVTlib
    0   libsystem_kernel.dylib                  0x00007fff984816b6 semaphore_wait_trap + 10
    1   com.apple.VideoToolbox.ProAppsSupport          0x00000001036f912b VTImageRotationSessionCreate + 77653
    2   com.apple.VideoToolbox.ProAppsSupport          0x00000001036f8ad8 VTImageRotationSessionCreate + 76034
    3   libsystem_c.dylib                       0x00007fff8f96f8bf _pthread_start + 335
    4   libsystem_c.dylib                       0x00007fff8f972b75 thread_start + 13
    Thread 49:: com.apple.coremedia.JVTlib
    0   libsystem_kernel.dylib                  0x00007fff984816b6 semaphore_wait_trap + 10
    1   com.apple.VideoToolbox.ProAppsSupport          0x00000001036f912b VTImageRotationSessionCreate + 77653
    2   com.apple.VideoToolbox.ProAppsSupport          0x00000001036f8ad8 VTImageRotationSessionCreate + 76034
    3   libsystem_c.dylib                       0x00007fff8f96f8bf _pthread_start + 335
    4   libsystem_c.dylib                       0x00007fff8f972b75 thread_start + 13
    Thread 50:: com.apple.coremedia.JVTlib
    0   libsystem_kernel.dylib                  0x00007fff984816b6 semaphore_wait_trap + 10
    1   com.apple.VideoToolbox.ProAppsSupport          0x00000001036f912b VTImageRotationSessionCreate + 77653
    2   com.apple.VideoToolbox.ProAppsSupport          0x00000001036f8ad8 VTImageRotationSessionCreate + 76034
    3   libsystem_c.dylib                       0x00007fff8f96f8bf _pthread_start + 335
    4   libsystem_c.dylib                       0x00007fff8f972b75 thread_start + 13
    Thread 51:: com.apple.coremedia.JVTlib
    0   libsystem_kernel.dylib                  0x00007fff984816b6 semaphore_wait_trap + 10
    1   com.apple.VideoToolbox.ProAppsSupport          0x00000001036f912b VTImageRotationSessionCreate + 77653
    2   com.apple.VideoToolbox.ProAppsSupport          0x00000001036f8ad8 VTImageRotationSessionCreate + 76034
    3   libsystem_c.dylib                       0x00007fff8f96f8bf _pthread_start + 335
    4   libsystem_c.dylib                       0x00007fff8f972b75 thread_start + 13
    Thread 52:: com.apple.coremedia.JVTlib
    0   libsystem_kernel.dylib                  0x00007fff984816b6 semaphore_wait_trap + 10
    1   com.apple.VideoToolbox.ProAppsSupport          0x00000001036f912b VTImageRotationSessionCreate + 77653
    2   com.apple.VideoToolbox.ProAppsSupport          0x00000001037b63f0 JVTLibDecoDispose + 479632
    3   libsystem_c.dylib                       0x00007fff8f96f8bf _pthread_start + 335
    4   libsystem_c.dylib                       0x00007fff8f972b75 thread_start + 13
    Thread 53:: com.apple.coremedia.JVTlib
    0   libsystem_kernel.dylib                  0x00007fff984816b6 semaphore_wait_trap + 10
    1   com.apple.VideoToolbox.ProAppsSupport          0x00000001036f912b VTImageRotationSessionCreate + 77653
    2   com.apple.VideoToolbox.ProAppsSupport          0x00000001036f8ad8 VTImageRotationSessionCreate + 76034
    3   libsystem_c.dylib                       0x00007fff8f96f8bf _pthread_start + 335
    4   libsystem_c.dylib                       0x00007fff8f972b75 thread_start + 13
    Thread 54:: com.apple.coremedia.JVTlib
    0   libsystem_kernel.dylib                  0x00007fff984816b6 semaphore_wait_trap + 10
    1   com.apple.VideoToolbox.ProAppsSupport          0x00000001036f912b VTImageRotationSessionCreate + 77653
    2   com.apple.VideoToolbox.ProAppsSupport          0x00000001036f8ad8 VTImageRotationSessionCreate + 76034
    3   libsystem_c.dylib                       0x00007fff8f96f8bf _pthread_start + 335
    4   libsystem_c.dylib                       0x0000

    I get it FCPX 10.0.4 The The Story Line has multicam and compounded clips in it too. it sometimes opens up but the crash seemes to happen around the multi-cam area. I've deleted rendered files & re rendered and still the same issue.

  • OSD task sequence fails to install application on workgroup computers

    Environment:
    Windows Server 2008 R2 Standard, SP1
    SCCM 2012 R2
    Issue:
    OSD TS fails to install applications from local DP on Windows 8.1 x86 workgroup computers. Same applications install fine from OSD/TS on domain computers. A standard push installs fine when deployed outside of OSD/TS to workgroup, or domain computer.
    - “SMSMP=managementpoint.domain.com” is included in ‘Setup Windows and ConfigMgr’ installation poperties
    - “Allow clients to connect anonymously” enable on DP system role, HTTP client communication
    - Network Access Account is configured and authentication is confirmed
    - Have confirmed no overlapping boundaries
    - IIS anonomys and Windows authentication is enabled for SMS_DP_SMSPKG$, anon authentication creds set to "Specific user: IUSR"
    After OSD deployment to workgroup computer completes -
    AppEnforce.log is missing
    smsts.log
    App install failed.
    Setting TSEnv variable '_TSAppInstallStatus'='Error'
    Setting TSEnv variable 'SMSTSInstallApplicationJobID__ScopeId_93E0532F-C5CA-4078-AE13-2BF543602863/Application_486d99ca-817a-4600-889e-50f8e4f91592'=''
    Completed installation job.
    Step 3 out of 3 complete
    Install application action failed: 'Microsoft_Office_Professional_2013_v15.0.4420.1017_CA-copy'. Error Code 0x80004005
    CITaskMgr.log
    State transition to (Failure), Error(0x87d00222) : CITask(ScopeId_93E0532F-C5CA-4078-AE13-2BF543602863/DeploymentType_f7f47522-3654-43b0-95ef-55198d957bdf.8..Install.ContentDownload)
    Initiating task ContentDownload for CI ScopeId_93E0532F-C5CA-4078-AE13-2BF543602863/DeploymentType_5982c24d-07f4-4121-8aa4-4f65a8b7aeb6.15 (Microsoft_Office_Professional_2013_v15.0.4420.1017_CA_x86_P_PW) for target: , consumer: {634F5894-5AB1-4D48-83F7-603E94FE1F3F}
    Initiating content download : CITask(ScopeId_93E0532F-C5CA-4078-AE13-2BF543602863/DeploymentType_5982c24d-07f4-4121-8aa4-4f65a8b7aeb6.15..Install.ContentDownload)
    State transition to (InProgress), Param(0) : CITask(ScopeId_93E0532F-C5CA-4078-AE13-2BF543602863/DeploymentType_5982c24d-07f4-4121-8aa4-4f65a8b7aeb6.15..Install.ContentDownload)
    State transition to (InProgress), Param(0) : CTaskConsumer(ScopeId_93E0532F-C5CA-4078-AE13-2BF543602863/DeploymentType_5982c24d-07f4-4121-8aa4-4f65a8b7aeb6.15.{CC8A099F-8EC4-4484-9191-53FFC1775D18})
    Successfully initiated contents download request {5237AC77-651A-4723-AF91-78A1C0497DBD} : CITask(ScopeId_93E0532F-C5CA-4078-AE13-2BF543602863/DeploymentType_5982c24d-07f4-4121-8aa4-4f65a8b7aeb6.15..Install.ContentDownload)
    Release task ContentDownload for CI ScopeId_93E0532F-C5CA-4078-AE13-2BF543602863/DeploymentType_5982c24d-07f4-4121-8aa4-4f65a8b7aeb6.15 for target: , consumer {634F5894-5AB1-4D48-83F7-603E94FE1F3F}
    Release task ContentDownload for CI ScopeId_93E0532F-C5CA-4078-AE13-2BF543602863/Application_486d99ca-817a-4600-889e-50f8e4f91592.22 for target: , consumer {502180D3-CA3B-4657-AD32-D43F952A6576}
    Release task ContentDownload for CI ScopeId_93E0532F-C5CA-4078-AE13-2BF543602863/RequiredApplication_486d99ca-817a-4600-889e-50f8e4f91592.22 for target: , consumer {1A485BC4-DD0C-4659-B034-8D7F9B436B3A}
    State transition to (Failure), Error(0x87d00222) : CITask(ScopeId_93E0532F-C5CA-4078-AE13-2BF543602863/DeploymentType_5982c24d-07f4-4121-8aa4-4f65a8b7aeb6.15..Install.ContentDownload)
    ContentTransferManager.log
    CTM job {1964A4E6-DC01-4A04-983D-AAFD5FB2CA5E} (corresponding DTS job {901A1D57-D363-45FE-A4F4-8509F5556D53}) started download from 'http://HOUMGMT49.APACHECORP.COM/SMS_DP_SMSPKG$/Content_ed232f49-224b-48cc-9c01-0ff31bae851e.1' for full content download.
    CTM job {1964A4E6-DC01-4A04-983D-AAFD5FB2CA5E} entered phase CCM_DOWNLOADSTATUS_DOWNLOADING_DATA
    CTM job {1964A4E6-DC01-4A04-983D-AAFD5FB2CA5E} entered phase CCM_DOWNLOADSTATUS_DOWNLOADING_DATA
    CTM job {1964A4E6-DC01-4A04-983D-AAFD5FB2CA5E} entered phase CCM_DOWNLOADSTATUS_DOWNLOADING_DATA
    CTM job {1964A4E6-DC01-4A04-983D-AAFD5FB2CA5E} entered phase CCM_DOWNLOADSTATUS_DOWNLOADING_DATA
    CTM encountered error processing reply from DTS. Code 0x87d00215
    Cancelled CTM job {1964A4E6-DC01-4A04-983D-AAFD5FB2CA5E}
    Two workarounds below (Not acceptable solutions)
    *I can add a pause script after Setup Windows and ConfigMgr sequence, wait 5-10 minutes and the applications will install. I assume this is because the CM client has time to fully provision.
    * I can add the anon ‘IUSR’ account to the local administrators group on the DP and all applications install (without the pause script mentioned above). I can reproduce the issue repeatedly by removing and adding the anon account.
    Any help with this would be greatly appreciated…
    Also, I come from a CM 2007 background and somewhat new to CM 2012. Should WebDAV and/or URL Authorization be installed and configured on the DP? According to MS tech support “No”. However, I’ve seen several SCCM 2012 guides on the web that say it should
    be installed.
    Regards
    David K. Harrison

    Locationservices.log, CIDownloader.log, CIagent.log, DataTransferService.log also need to be checked. We need to find out what credential the Client trys to use when it downloads content from DP.
    Juke Chou
    TechNet Community Support

  • Premiere CC exports the timeline in smaller segments, but export crashes on full sequence export. "Serious error has occurred"

    I'm running a 2013 iMac i7 with NVIDIA GeForce GTX 780M 4096mb. 24Gb ram. Latest update of Premiere Pro CC.  My sequence is 23 minutes long, XDCAM EX. Most of my footage is Sony AVCHD.
    When I attempt to export the entire sequence, it will go approx 75% and then stop, giving the error box: "A serious error has occurred and Premiere must shut down, we will attempt to save your project..."
    This happens whether the sequence is entirely preview-rendered or not, and no matter the settings in the export window.  However, if I mark the sequence and export it in 2 pieces, both sides of the sequence will export.
    I have uninstalled and reinstalled Premiere, tried making new projects, imported the sequence, trashed the render files and media cache, trashed QT plugins, removed filters from clips... no solutions yet.
    General response of Premiere seems to be generally slower than usual as well, and this problem has only recently started.  I haven't needed to export my project for a couple weeks, so I'm not sure when exactly it may have started.
    Below is the error report, I hope someone is able to help me with this... thank you.
    Process:         Adobe Premiere Pro CC [1600]
    Path: /Applications/Adobe Premiere Pro CC/Adobe Premiere Pro CC.app/Contents/MacOS/Adobe Premiere Pro CC
    Identifier: com.adobe.AdobePremierePro
    Version:         7.2.2 (7.2.2)
    Code Type:       X86-64 (Native)
    Parent Process: launchd [200]
    Responsible:     Adobe Premiere Pro CC [1600]
    User ID:         503
    Date/Time: 2014-05-05 19:36:19.861 -0400
    OS Version:      Mac OS X 10.9.2 (13C1021)
    Report Version:  11
    Anonymous UUID: 4D877ADB-C93F-9E89-9DFC-8B32EE1F7623
    Crashed Thread: 35  Dispatch queue: opencl_runtime
    Exception Type: EXC_BAD_ACCESS (SIGSEGV)
    Exception Codes: KERN_INVALID_ADDRESS at 0x0000000000000008
    VM Regions Near 0x8:
    -->
        __TEXT                 0000000100000000-0000000100003000 [   12K] r-x/rwx SM=COW  /Applications/Adobe Premiere Pro CC/Adobe Premiere Pro CC.app/Contents/MacOS/Adobe Premiere Pro CC
    Thread 0:: Dispatch queue: com.apple.main-thread
    0 libsystem_kernel.dylib                 0x00007fff8ac2d716 __psynch_cvwait + 10
    1 libsystem_pthread.dylib              0x00007fff8acfdc3b _pthread_cond_wait + 727
    2 com.adobe.dvacore.framework              0x000000010037e69b boost::condition_variable::wait(boost::unique_lock<boost::mutex>&) + 75
    3 com.adobe.dvacore.framework              0x000000010037e3a7 boost::barrier::wait() + 103
    4 com.adobe.dvacore.framework              0x000000010037e2a3 dvacore::threads::SyncPoint::Wait() + 35
    5 com.adobe.dvacore.framework              0x0000000100384123 dvacore::threads::(anonymous namespace)::ThreadedWorkQueue::Flush() + 483
    6 com.adobe.ExporterHost.framework     0x0000000105292798 ML::Exporter::Abort() + 168
    7 com.adobe.EncoderHost.framework      0x0000000105a40169 EncoderHost::Encoder::StopEncode() + 41
    8 com.adobe.SettingsUI.framework          0x00000001088bb180 AMEUI::SuperSettingsView::ExportImmediately(dvaui::controls::UI_ButtonChangedMessage*) + 13792
    9 com.adobe.SettingsUI.framework          0x00000001088436b1 boost::detail::function::void_function_obj_invoker1<dvaui::ui::MessageFunctionAdapter<dva ui::ui::MessageT<dvaui::ui::UI_Node>, dvaui::controls::UI_ButtonChangedMessage, boost::function1<void, dvaui::controls::UI_ButtonChangedMessage*> >, void, dvaui::ui::MessageT<dvaui::ui::UI_Node>*>::invoke(boost::detail::function::function_buffe r&, dvaui::ui::MessageT<dvaui::ui::UI_Node>*) + 65
    10 com.adobe.dvaui.framework                 0x0000000103cce697 dvaui::controls::UI_ControlView::SendControlMessages(dvacore::utility::SharedFunctions<bo ost::shared_ptr<boost::function<void (dvaui::ui::MessageT<dvaui::ui::UI_Node>*)> > > const&, dvaui::ui::MessageT<dvaui::ui::UI_Node>*) + 71
    11 com.adobe.dvaui.framework                 0x0000000103cabadb dvaui::controls::UI_Button::SendButtonChangedMessage(dvacore::utility::Flags<unsigned char>) + 187
    12 com.adobe.dvaui.framework                 0x0000000103caac2c dvaui::controls::UI_Button::PerformAction(bool, dvacore::utility::Flags<unsigned char>, bool) + 108
    13 com.adobe.dvaui.framework                 0x0000000103cab643 dvaui::controls::ButtonInputCapture::End() + 115
    14 com.adobe.dvaui.framework                 0x0000000103ec4dd7 dvaui::ui::PointerCapture::InvokeEnd(bool) + 135
    15 com.adobe.dvaui.framework                 0x0000000103ece175 dvaui::ui::UI_NodeManager::EndInputCapture(bool) + 117
    16 com.adobe.dvaui.framework                 0x0000000103cab7ce dvaui::controls::ButtonInputCapture::DoMouseEvent(dvaui::ui::MouseEvent const&) + 206
    17 com.adobe.dvaui.framework                 0x0000000103ed8970 dvaui::ui::UI_Node::UI_DispatchCapturedMouseEvent(dvaui::ui::MouseEvent const&) + 432
    18 com.adobe.dvaui.framework                 0x0000000103ed8245 dvaui::ui::UI_Node::UI_DispatchPointerEventToTarget(dvaui::ui::UI_Node*, dvaui::ui::PointerEvent const&, bool) + 165
    19 com.adobe.dvaui.framework                 0x0000000103ed8102 dvaui::ui::UI_Node::UI_DispatchPointerEvent(dvaui::ui::PointerEvent const&, bool) + 66
    20 com.adobe.dvaui.framework                 0x0000000103f52f50 dvaui::ui::OS_View::UI_DispatchPlatformMouseEvent(dvaui::ui::MouseEvent const&, bool) + 608
    21 com.adobe.dvaui.framework                 0x0000000103f52ad8 dvaui::ui::OS_View::UI_DispatchPlatformMouseClickEvent(dvaui::ui::OS_Event const&) + 600
    22  com.adobe.dvaui.framework                 0x0000000103f50d09 dvaui::ui::OS_View::UI_DispatchEvent(dvaui::ui::OS_Event*) + 121
    23 com.adobe.dvaui.framework                 0x0000000103f50c66 dvaui::ui::OS_View::UI_HandleOSEvent(dvaui::ui::OS_Event*) + 22
    24 com.adobe.dvaui.framework                 0x0000000103f52569 dvaui::ui::OS_View::UI_HandlePlatformEvent(NSEvent*) + 57
    25 com.adobe.dvacore.framework             0x00000001002e5e6c int dvacore::config::ErrorManager::ExecuteFunction<void>(boost::function0<void>*, void*) + 28
    26  com.adobe.Frontend.framework           0x000000010006c359 FE::ApplicationErrorManager::ExecuteFunctionWithTopLevelExceptionHandler(boost::function0 <int>) + 41
    27 com.adobe.dvacore.framework             0x00000001002e5fb8 void dvacore::config::ErrorManager::ExecuteFunctionWithTopLevelExceptionHandler<void>(boost::f unction0<void>, bool*) + 136
    28 com.adobe.dvacore.framework             0x00000001002e9605 void dvacore::config::ExecuteTopLevelFunction<void>(boost::function0<void>, bool*) + 165
    29 com.adobe.dvaui.framework                 0x0000000103f5d61a -[DVAMacContainerView mouseUp:] + 122
    30 com.apple.AppKit                       0x00007fff87b26235 -[NSWindow sendEvent:] + 781
    31 com.apple.AppKit                       0x00007fff87ac76e4 -[NSApplication sendEvent:] + 2021
    32 com.adobe.dvaui.framework                 0x0000000103f56cd9 -[DVAMacApplication sendEvent:] + 601
    33 com.adobe.Frontend.framework           0x00000001000c7d74 -[PremiereCocoaMacApplication sendEvent:] + 308
    34 com.apple.AppKit                       0x00007fff87c82ea5 -[NSApplication _realDoModalLoop:peek:] + 761
    35  com.apple.AppKit                       0x00007fff87cb9505 -[NSApplication runModalSession:] + 71
    36 com.adobe.dvaui.framework                 0x0000000103f46369 dvaui::ui::OS_Dialog::ModalLoop() + 297
    37 com.adobe.dvaui.framework                 0x0000000103f45f2d dvaui::ui::OS_Dialog::RunModal() + 173
    38 com.adobe.SettingsUI.framework         0x0000000108812962 AME::AMESettingsDlgImp::DoDialog(AME::VindUIMode, unsigned short const*, unsigned int) + 322
    39 com.adobe.Mezzanine.framework        0x0000000105d53def MZ::(anonymous namespace)::LaunchAMESettingsDlgHelper(ASL::InterfaceRef<BE::ISequence, BE::ISequence>, dvamediatypes::TickTime const&, bool, std::basic_string<unsigned short, std::char_traits<unsigned short>, dvacore::utility::SmallBlockAllocator::STLAllocator<unsigned short> > const&, std::basic_string<unsigned short, std::char_traits<unsigned short>, dvacore::utility::SmallBlockAllocator::STLAllocator<unsigned short> >&, bool&, bool&, MZ::EncodeSettings&, EncoderHost::AMEWorkAreaType&, dvamediatypes::TickTime const&, dvamediatypes::TickTime const&, dvamediatypes::TickTime&, dvamediatypes::TickTime&, bool, bool, bool, unsigned int) + 9023
    40 com.adobe.Mezzanine.framework        0x0000000105d51393 MZ::EncoderManager::AddSequence(std::basic_string<unsigned short, std::char_traits<unsigned short>, dvacore::utility::SmallBlockAllocator::STLAllocator<unsigned short> > const&, std::basic_string<unsigned short, std::char_traits<unsigned short>, dvacore::utility::SmallBlockAllocator::STLAllocator<unsigned short> > const&, ASL::InterfaceRef<BE::IProject, BE::IProject>, ASL::InterfaceRef<BE::IProject, BE::IProject>, ASL::InterfaceRef<EncoderHost::IPreset, EncoderHost::IPreset>, ASL::InterfaceRef<BE::ISequence, BE::ISequence>, dvamediatypes::TickTime const&, std::basic_string<unsigned short, std::char_traits<unsigned short>, dvacore::utility::SmallBlockAllocator::STLAllocator<unsigned short> >&, MZ::ExportMediaEncodeType, int (*)(ASL::InterfaceRef<BE::IProject, BE::IProject> const&, std::basic_string<unsigned short, std::char_traits<unsigned short>, dvacore::utility::SmallBlockAllocator::STLAllocator<unsigned short> > const&), void (*)(ASL::InterfaceRef<BE::IProject, BE::IProject> const&, ASL::InterfaceRef<BE::ISequence, BE::ISequence> const&, ASL::InterfaceRef<BE::ISequence, BE::ISequence>&, ASL::InterfaceRef<BE::IProject, BE::IProject>&)) + 803
    41 com.adobe.HSL.framework       0x0000000108cbd45f HSL::DoExportMovieDialog(ASL::InterfaceRef<BE::ISequence, BE::ISequence>, ASL::InterfaceRef<BE::IMasterClip, BE::IMasterClip>, bool, dvamediatypes::TickTime const&, bool, MZ::ExportMediaEncodeType) + 1007
    42 com.adobe.HandlerTimeline.framework          0x000000010bd56751 HandlerTimeline::TimelineViewCommander::ObeyCommand(UIF::Command const&) + 1617
    43 com.adobe.HandlerTimeline.framework          0x000000010bd34f40 HandlerTimeline::TimelineView::ObeyCommand(UIF::Command const&) + 48
    44 com.adobe.UIFramework.framework   0x000000010473a2d6 UIF::Commander::PostCommandInner(UIF::Command const&, bool&) + 790
    45 com.adobe.Frontend.framework           0x000000010006c359 FE::ApplicationErrorManager::ExecuteFunctionWithTopLevelExceptionHandler(boost::function0 <int>) + 41
    46 com.adobe.UIFramework.framework   0x0000000104739f3f UIF::Commander::PostCommand(UIF::Command const&) + 111
    47 com.adobe.UIFramework.framework   0x0000000104739e95 UIF::Commander::PostCommand(int) + 37
    48 com.adobe.Frontend.framework           0x00000001000c77fc HandleMenuCommand(NSMenuItem*) + 108
    49 com.adobe.dvacore.framework             0x00000001002e5e6c int dvacore::config::ErrorManager::ExecuteFunction<void>(boost::function0<void>*, void*) + 28
    50  com.adobe.Frontend.framework           0x000000010006c359 FE::ApplicationErrorManager::ExecuteFunctionWithTopLevelExceptionHandler(boost::function0 <int>) + 41
    51 com.adobe.dvacore.framework             0x00000001002e5fb8 void dvacore::config::ErrorManager::ExecuteFunctionWithTopLevelExceptionHandler<void>(boost::f unction0<void>, bool*) + 136
    52 com.adobe.dvacore.framework             0x00000001002e9605 void dvacore::config::ExecuteTopLevelFunction<void>(boost::function0<void>, bool*) + 165
    53 com.adobe.Frontend.framework           0x00000001000c76a9 -[PremiereCocoaMacApplication handleMenuCommand:] + 121
    54 com.apple.AppKit                       0x00007fff87b42340 -[NSApplication sendAction:to:from:] + 327
    55 com.apple.AppKit                       0x00007fff87b5d2a8 -[NSMenuItem _corePerformAction] + 394
    56 com.apple.AppKit                       0x00007fff87b5cfe4 -[NSCarbonMenuImpl performActionWithHighlightingForItemAtIndex:] + 117
    57 com.adobe.dvaui.framework                 0x0000000103f9b8e4 -[DVAMacMenu performActionForItemAtIndex:] + 52
    58 com.apple.AppKit                       0x00007fff87bac48d -[NSMenu _internalPerformActionForItemAtIndex:] + 35
    59 com.apple.AppKit                       0x00007fff87bac309 -[NSCarbonMenuImpl _carbonCommandProcessEvent:handlerCallRef:] + 104
    60 com.apple.AppKit                       0x00007fff87b530d6 NSSLMMenuEventHandler + 716
    61 com.apple.HIToolbox                  0x00007fff869171d4 DispatchEventToHandlers(EventTargetRec*, OpaqueEventRef*, HandlerCallRec*) + 892
    62 com.apple.HIToolbox                  0x00007fff86916787 SendEventToEventTargetInternal(OpaqueEventRef*, OpaqueEventTargetRef*, HandlerCallRec*) + 385
    63 com.apple.HIToolbox                  0x00007fff8692a880 SendEventToEventTarget + 40
    64 com.apple.HIToolbox                  0x00007fff86960640 SendHICommandEvent(unsigned int, HICommand const*, unsigned int, unsigned int, unsigned char, void const*, OpaqueEventTargetRef*, OpaqueEventTargetRef*, OpaqueEventRef**) + 420
    65 com.apple.HIToolbox                  0x00007fff86993238 SendMenuCommandWithContextAndModifiers + 59
    66 com.apple.HIToolbox                  0x00007fff869931e0 SendMenuItemSelectedEvent + 178
    67 com.apple.HIToolbox                  0x00007fff869930bf FinishMenuSelection(SelectionData*, MenuResult*, MenuResult*) + 94
    68 com.apple.HIToolbox                  0x00007fff8699b095 MenuSelectCore(MenuData*, Point, double, unsigned int, OpaqueMenuRef**, unsigned short*) + 718
    69 com.apple.HIToolbox                  0x00007fff8699acc1 _HandleMenuSelection2 + 446
    70 com.apple.AppKit                       0x00007fff87ac573c _NSHandleCarbonMenuEvent + 284
    71 com.apple.AppKit                       0x00007fff879246be _DPSNextEvent + 2170
    72 com.apple.AppKit                       0x00007fff87923a2b -[NSApplication nextEventMatchingMask:untilDate:inMode:dequeue:] + 122
    73 com.apple.AppKit                       0x00007fff87917b2c -[NSApplication run] + 553
    74  com.adobe.Frontend.framework           0x00000001000c984d FE::MacApplication::RunSelf() + 45
    75 com.adobe.Frontend.framework           0x000000010004942a FE::Application::Run(std::basic_string<unsigned short, std::char_traits<unsigned short>, dvacore::utility::SmallBlockAllocator::STLAllocator<unsigned short> > const&) + 4058
    76 com.adobe.Frontend.framework           0x00000001000cb0c8 FE::AppMain(ASL::ObjectPtr<ASL::Module, ASL::AtomicValue> const&, std::basic_string<unsigned short, std::char_traits<unsigned short>, dvacore::utility::SmallBlockAllocator::STLAllocator<unsigned short> > const&, int, void*) + 296
    77 com.adobe.Frontend.framework           0x00000001000d2285 FE::Run(ASL::ObjectPtr<ASL::Module, ASL::AtomicValue> const&, std::basic_string<unsigned short, std::char_traits<unsigned short>, dvacore::utility::SmallBlockAllocator::STLAllocator<unsigned short> > const&, int) + 581
    78 com.adobe.AdobePremierePro             0x00000001000018bc main + 524
    79 com.adobe.AdobePremierePro             0x00000001000016a4 start + 52
    Thread 1:: Dispatch queue: com.apple.libdispatch-manager
    0 libsystem_kernel.dylib                 0x00007fff8ac2e662 kevent64 + 10
    1 libdispatch.dylib             0x00007fff8a0b543d _dispatch_mgr_invoke + 239
    2 libdispatch.dylib             0x00007fff8a0b5152 _dispatch_mgr_thread + 52
    Thread 2:
    0 libsystem_kernel.dylib                 0x00007fff8ac2e64a kevent + 10
    1 com.adobe.dvatransport.framework     0x0000000100cc511c boost::asio::detail::kqueue_reactor::run(bool, boost::asio::detail::op_queue<boost::asio::detail::task_io_service_operation>&) + 236
    2 com.adobe.dvatransport.framework     0x0000000100cc4dc7 boost::asio::detail::task_io_service::do_run_one(boost::asio::detail::scoped_lock<boost:: asio::detail::posix_mutex>&, boost::asio::detail::task_io_service_thread_info&, boost::system::error_code const&) + 375
    3 com.adobe.dvatransport.framework     0x0000000100cc4938 boost::asio::detail::task_io_service::run(boost::system::error_code&) + 568
    4 com.adobe.dvatransport.framework     0x0000000100cb45b7 SkyConnectionEnv::MainLoop() + 167
    5   com.adobe.dvatransport.framework     0x0000000100cb4069 SkyConnectionEnv::StaticThreadFunc(SkyConnectionEnv*) + 9
    6 com.adobe.boost_threads.framework    0x00000001002928ae thread_proxy + 158
    7 libsystem_pthread.dylib              0x00007fff8acfb899 _pthread_body + 138
    8   libsystem_pthread.dylib              0x00007fff8acfb72a _pthread_start + 137
    9 libsystem_pthread.dylib              0x00007fff8acfffc9 thread_start + 13
    Thread 3:
    0 libsystem_kernel.dylib                 0x00007fff8ac2d716 __psynch_cvwait + 10
    1 libsystem_pthread.dylib              0x00007fff8acfdc3b _pthread_cond_wait + 727
    2 com.adobe.dvatransport.framework     0x0000000100cc4cdb boost::asio::detail::task_io_service::do_run_one(boost::asio::detail::scoped_lock<boost:: asio::detail::posix_mutex>&, boost::asio::detail::task_io_service_thread_info&, boost::system::error_code const&) + 139
    3 com.adobe.dvatransport.framework     0x0000000100cc4938 boost::asio::detail::task_io_service::run(boost::system::error_code&) + 568
    4 com.adobe.dvatransport.framework     0x0000000100cc46ea boost::asio::detail::posix_thread::func<boost::asio::detail::resolver_service_base::work_ io_service_runner>::run() + 42
    5 com.adobe.dvatransport.framework     0x0000000100cc57d3 boost_asio_detail_posix_thread_function + 19
    6 libsystem_pthread.dylib              0x00007fff8acfb899 _pthread_body + 138
    7 libsystem_pthread.dylib              0x00007fff8acfb72a _pthread_start + 137
    8 libsystem_pthread.dylib              0x00007fff8acfffc9 thread_start + 13
    Thread 4:
    0 libsystem_kernel.dylib                 0x00007fff8ac2d716 __psynch_cvwait + 10
    1 libsystem_pthread.dylib              0x00007fff8acfdc3b _pthread_cond_wait + 727
    2 com.apple.CoreServices.CarbonCore     0x00007fff8cc1c800 TSWaitOnCondition + 108
    3 com.apple.CoreServices.CarbonCore     0x00007fff8cc1c9ff TSWaitOnConditionTimedRelative + 172
    4 com.apple.CoreServices.CarbonCore     0x00007fff8cbed145 MPWaitOnQueue + 192
    5 com.adobe.dvacore.framework              0x00000001003851cc dvacore::threads::ThreadSafeDelayQueue::PopWithTimeout(std::auto_ptr<dvacore::threads::Al locatedFunctionT<boost::function<void ()> > >&, int) + 140
    6 com.adobe.dvacore.framework              0x00000001003831b0 dvacore::threads::(anonymous namespace)::ThreadedWorkQueue::WorkerMain(boost::shared_ptr<dvacore::threads::ThreadSafeD elayQueue> const&, boost::shared_ptr<dvacore::threads::Gate> const&) + 144
    7 com.adobe.dvacore.framework              0x000000010037534c boost::function0<void>::operator()() const + 28
    8 com.adobe.dvacore.framework              0x0000000100380133 dvacore::threads::(anonymous namespace)::LaunchThread(std::string const&, boost::function0<void> const&, dvacore::threads::ThreadPriority, boost::function<void ()> const&, boost::function<void ()> const&) + 115
    9 com.adobe.boost_threads.framework    0x00000001002928ae thread_proxy + 158
    10 libsystem_pthread.dylib            0x00007fff8acfb899 _pthread_body + 138
    11 libsystem_pthread.dylib            0x00007fff8acfb72a _pthread_start + 137
    12 libsystem_pthread.dylib            0x00007fff8acfffc9 thread_start + 13
    Thread 5:
    0 libsystem_kernel.dylib                 0x00007fff8ac2d716 __psynch_cvwait + 10
    1 libsystem_pthread.dylib              0x00007fff8acfdc3b _pthread_cond_wait + 727
    2 com.adobe.GPUFoundation.framework 0x00000001090eca46 void boost::condition_variable_any::wait<boost::unique_lock<boost::mutex> >(boost::unique_lock<boost::mutex>&) + 70
    3   com.adobe.GPUFoundation.framework 0x00000001090ea8eb GF::Device::AcquireExclusiveAccess() + 123
    4 com.adobe.PlayerMediaCore.framework          0x000000012125dd1a AdobePlayer::PlayerDisplay::UpdateWindow() + 362
    5 com.adobe.PlayerMediaCore.framework          0x00000001212700d5 AdobePlayer::PlayerMain::OnUpdate() + 21
    6 com.adobe.PlayerMediaCore.framework          0x0000000121275171 PrPlayModule<AdobePlayer::PlayerMain>::PlayEntry(int, pmStdParms*, void*, void*) + 241
    7 com.adobe.PlayerMediaCore.framework          0x0000000121275000 xPlayEntry + 16
    8 com.adobe.PlayerHost.framework         0x0000000105465535 ML::CallPlayerModuleGuarded(int (*)(int, pmStdParms*, void*, void*), int, pmStdParms*, void*, void*, int*, std::basic_string<unsigned short, std::char_traits<unsigned short>, dvacore::utility::SmallBlockAllocator::STLAllocator<unsigned short> > const&) + 277
    9 com.adobe.PlayerHost.framework         0x0000000105463319 ML::PlayerModule::CallPlugin(int, void*, void*) + 153
    10 com.adobe.PlayerHost.framework        0x0000000105488da6 ML::VideoPlayer::CallPlayModuleSelector_Update() + 86
    11 com.adobe.PlayerHost.framework        0x000000010547720d ML::VideoPlayer::ForceDisplayRedraw(ML::PlayerOutputQuality) + 205
    12 com.adobe.PlayerHost.framework        0x000000010546a90d ML::PlayModuleThreadQueue::ExecuteDeferredCall(ASL::ObjectPtr<ML::DeferredCallBase, ASL::AtomicValue>) + 333
    13 com.adobe.PlayerHost.framework        0x0000000105469824 ML::PlayModuleThreadQueue::ServiceQueue() + 340
    14 com.adobe.dvacore.framework             0x000000010038324f dvacore::threads::(anonymous namespace)::ThreadedWorkQueue::WorkerMain(boost::shared_ptr<dvacore::threads::ThreadSafeD elayQueue> const&, boost::shared_ptr<dvacore::threads::Gate> const&) + 303
    15 com.adobe.dvacore.framework             0x000000010037534c boost::function0<void>::operator()() const + 28
    16 com.adobe.dvacore.framework             0x0000000100380133 dvacore::threads::(anonymous namespace)::LaunchThread(std::string const&, boost::function0<void> const&, dvacore::threads::ThreadPriority, boost::function<void ()> const&, boost::function<void ()> const&) + 115
    17 com.adobe.boost_threads.framework   0x00000001002928ae thread_proxy + 158
    18 libsystem_pthread.dylib            0x00007fff8acfb899 _pthread_body + 138
    19 libsystem_pthread.dylib            0x00007fff8acfb72a _pthread_start + 137
    20 libsystem_pthread.dylib            0x00007fff8acfffc9 thread_start + 13
    Thread 6:
    0 libsystem_kernel.dylib                 0x00007fff8ac2d716 __psynch_cvwait + 10
    1 libsystem_pthread.dylib              0x00007fff8acfdc3b _pthread_cond_wait + 727
    2 com.apple.CoreServices.CarbonCore     0x00007fff8cc1c800 TSWaitOnCondition + 108
    3 com.apple.CoreServices.CarbonCore     0x00007fff8cc1c9ff TSWaitOnConditionTimedRelative + 172
    4 com.apple.CoreServices.CarbonCore     0x00007fff8cbed145 MPWaitOnQueue + 192
    5 com.adobe.dvacore.framework              0x00000001003851cc dvacore::threads::ThreadSafeDelayQueue::PopWithTimeout(std::auto_ptr<dvacore::threads::Al locatedFunctionT<boost::function<void ()> > >&, int) + 140
    6 com.adobe.dvacore.framework              0x00000001003831b0 dvacore::threads::(anonymous namespace)::ThreadedWorkQueue::WorkerMain(boost::shared_ptr<dvacore::threads::ThreadSafeD elayQueue> const&, boost::shared_ptr<dvacore::threads::Gate> const&) + 144
    7 com.adobe.dvacore.framework              0x000000010037534c boost::function0<void>::operator()() const + 28
    8   com.adobe.dvacore.framework              0x0000000100380133 dvacore::threads::(anonymous namespace)::LaunchThread(std::string const&, boost::function0<void> const&, dvacore::threads::ThreadPriority, boost::function<void ()> const&, boost::function<void ()> const&) + 115
    9 com.adobe.boost_threads.framework    0x00000001002928ae thread_proxy + 158
    10 libsystem_pthread.dylib            0x00007fff8acfb899 _pthread_body + 138
    11 libsystem_pthread.dylib            0x00007fff8acfb72a _pthread_start + 137
    12 libsystem_pthread.dylib            0x00007fff8acfffc9 thread_start + 13
    Thread 7:
    0 libsystem_kernel.dylib                 0x00007fff8ac29a1a mach_msg_trap + 10
    1 libsystem_kernel.dylib                 0x00007fff8ac28d18 mach_msg + 64
    2   libclh.dylib                        0x00007fff8e83ade9 0x7fff8e22b000 + 6356457
    3   libclh.dylib                        0x00007fff8e2f0d42 0x7fff8e22b000 + 810306
    4   libclh.dylib                        0x00007fff8e83bc39 0x7fff8e22b000 + 6360121
    5 libsystem_pthread.dylib              0x00007fff8acfb899 _pthread_body + 138
    6   libsystem_pthread.dylib              0x00007fff8acfb72a _pthread_start + 137
    7 libsystem_pthread.dylib              0x00007fff8acfffc9 thread_start + 13
    Thread 8:
    0 libsystem_kernel.dylib                 0x00007fff8ac29a56 semaphore_wait_trap + 10
    1 libsystem_platform.dylib             0x00007fff91329451 _os_semaphore_wait + 16
    2 libdispatch.dylib             0x00007fff8a0b999a _dispatch_barrier_sync_f_slow + 310
    3 com.apple.opencl                         0x00007fff8ae6ddb0 clFlush + 80
    4 com.adobe.GPUFoundation.framework 0x000000010910e3dd GF::OpenCLCommandQueue::CreateRecordedEvent() + 77
    5 com.adobe.GPUFoundation.framework 0x0000000109122167 GF::LowPass(boost::shared_ptr<GF::Device> const&, float4*, float4*, int, dvamediatypes::PixelFormat, int, int, float, float) + 5943
    6   com.adobe.GPUFoundation.framework 0x0000000109127bb4 GF::Motion(boost::shared_ptr<GF::Device> const&, void const*, int, int, int, int, int, int, int, void*, int, int, int, int, int, int, int, dvamediatypes::PixelFormat, float, float, float, float, float, float, float, float, IR_BlendMode, bool, bool, dvamediatypes::RenderQuality) + 1380
    7 com.adobe.RendererGPU.framework    0x00000001112f9486 RendererGPU::ApplyIntrinsics(boost::shared_ptr<GF::Device> const&, RendererGPU::IntrinsicParameters const&, ASL::InterfaceRef<MF::IVideoFrame, MF::IVideoFrame> const&, ASL::InterfaceRef<MF::IVideoFrame, MF::IVideoFrame> const&, dvamediatypes::RenderQuality) + 1446
    8 com.adobe.RendererGPU.framework    0x00000001112bc8ff RendererGPU::CompositorNode::Process(ASL::InterfaceRef<IRenderNode, IRenderNode> const&, RenderParams const&, boost::shared_ptr<RenderResults> const&) + 4447
    9 com.adobe.RendererGPU.framework    0x00000001112bf404 RendererGPU::FilterNode::ProcessFrameOnDevice(ASL::InterfaceRef<IRenderNode, IRenderNode> const&, RenderParams const&, boost::shared_ptr<RenderResults> const&, ASL::InterfaceRef<MF::IVideoFrame, MF::IVideoFrame>&) + 1460
    10 com.adobe.RendererGPU.framework   0x00000001112f5d04 RendererGPU::IntrinsicNode::Process(ASL::InterfaceRef<IRenderNode, IRenderNode> const&, RenderParams const&, boost::shared_ptr<RenderResults> const&) + 756
    11 com.adobe.RendererGPU.framework   0x00000001112bf404 RendererGPU::FilterNode::ProcessFrameOnDevice(ASL::InterfaceRef<IRenderNode, IRenderNode> const&, RenderParams const&, boost::shared_ptr<RenderResults> const&, ASL::InterfaceRef<MF::IVideoFrame, MF::IVideoFrame>&) + 1460
    12 com.adobe.RendererGPU.framework   0x00000001112c69a3 RendererGPU::SelectInputBaseNode::Process(ASL::InterfaceRef<IRenderNode, IRenderNode> const&, RenderParams const&, boost::shared_ptr<RenderResults> const&) + 115
    13 com.adobe.RendererGPU.framework   0x00000001112cdcf3 RendererGPU::NestedTimelineSource::Process(ASL::InterfaceRef<IRenderNode, IRenderNode> const&, RenderParams const&, boost::shared_ptr<RenderResults> const&) + 19
    14 com.adobe.RendererGPU.framework   0x00000001112bf404 RendererGPU::FilterNode::ProcessFrameOnDevice(ASL::InterfaceRef<IRenderNode, IRenderNode> const&, RenderParams const&, boost::shared_ptr<RenderResults> const&, ASL::InterfaceRef<MF::IVideoFrame, MF::IVideoFrame>&) + 1460
    15 com.adobe.RendererGPU.framework   0x00000001113499bc RenderNodeBase::ProcessFrameOnDevice(ASL::InterfaceRef<IRenderNode, IRenderNode> const&, RenderParams const&, boost::shared_ptr<RenderResults> const&, ASL::InterfaceRef<MF::IVideoFrame, MF::IVideoFrame>&) + 76
    16 com.adobe.RendererGPU.framework   0x00000001112bf1f2 RendererGPU::FilterNode::ProcessFrameOnDevice(ASL::InterfaceRef<IRenderNode, IRenderNode> const&, RenderParams const&, boost::shared_ptr<RenderResults> const&, ASL::InterfaceRef<MF::IVideoFrame, MF::IVideoFrame>&) + 930
    17 com.adobe.RendererGPU.framework   0x00000001112c69a3 RendererGPU::SelectInputBaseNode::Process(ASL::InterfaceRef<IRenderNode, IRenderNode> const&, RenderParams const&, boost::shared_ptr<RenderResults> const&) + 115
    18 com.adobe.RendererGPU.framework   0x00000001112c46d8 RendererGPU::MediaNode::Process(ASL::InterfaceRef<IRenderNode, IRenderNode> const&, RenderParams const&, boost::shared_ptr<RenderResults> const&) + 24
    19  com.adobe.RendererGPU.framework   0x00000001112bf404 RendererGPU::FilterNode::ProcessFrameOnDevice(ASL::InterfaceRef<IRenderNode, IRenderNode> const&, RenderParams const&, boost::shared_ptr<RenderResults> const&, ASL::InterfaceRef<MF::IVideoFrame, MF::IVideoFrame>&) + 1460
    20 com.adobe.RendererGPU.framework   0x00000001112bbd5b RendererGPU::CompositorNode::Process(ASL::InterfaceRef<IRenderNode, IRenderNode> const&, RenderParams const&, boost::shared_ptr<RenderResults> const&) + 1467
    21 com.adobe.RendererGPU.framework   0x00000001112bf404 RendererGPU::FilterNode::ProcessFrameOnDevice(ASL::InterfaceRef<IRenderNode, IRenderNode> const&, RenderParams const&, boost::shared_ptr<RenderResults> const&, ASL::InterfaceRef<MF::IVideoFrame, MF::IVideoFrame>&) + 1460
    22 com.adobe.RendererGPU.framework   0x00000001112ac35d RendererGPU::(anonymous namespace)::RendererGPU::ProduceFrame(ASL::InterfaceRef<IRenderNode, IRenderNode> const&, RenderParams const&, boost::shared_ptr<RenderResults> const&, ASL::InterfaceRef<MF::IVideoFrame, MF::IVideoFrame>&, ASL::InterfaceRef<MF::IVideoFrame, MF::IVideoFrame>&, std::string*, ASL::ParamRect<int>) + 1981
    23 com.adobe.PlayerMediaCore.framework         0x000000012127a8f0 AdobePlayer::RenderRequest::ProduceFrame(ASL::InterfaceRef<MF::IVideoFrame, MF::IVideoFrame>&, ASL::InterfaceRef<MF::IVideoFrame, MF::IVideoFrame>&, RenderParams const&, std::string*, ASL::ParamRect<int>) + 96
    24 com.adobe.PlayerMediaCore.framework         0x000000012125121f AdobePlayer::GPUAcceleratedRenderer::RenderImpl(boost::shared_ptr<AdobePlayer::RenderRequ est> const&) + 303
    25 com.adobe.dvacore.framework             0x000000010038324f dvacore::threads::(anonymous namespace)::ThreadedWorkQueue::WorkerMain(boost::shared_ptr<dvacore::threads::ThreadSafeD elayQueue> const&, boost::shared_ptr<dvacore::threads::Gate> const&) + 303
    26 com.adobe.dvacore.framework             0x000000010037534c boost::function0<void>::operator()() const + 28
    27 com.adobe.dvacore.framework             0x0000000100380133 dvacore::threads::(anonymous namespace)::LaunchThread(std::string const&, boost::function0<void> const&, dvacore::threads::ThreadPriority, boost::function<void ()> const&, boost::function<void ()> const&) + 115
    28 com.adobe.boost_threads.framework   0x00000001002928ae thread_proxy + 158
    29 libsystem_pthread.dylib            0x00007fff8acfb899 _pthread_body + 138
    30 libsystem_pthread.dylib            0x00007fff8acfb72a _pthread_start + 137
    31 libsystem_pthread.dylib            0x00007fff8acfffc9 thread_start + 13
    Thread 9:
    0 libsystem_kernel.dylib                 0x00007fff8ac29a1a mach_msg_trap + 10
    1   libsystem_kernel.dylib                 0x00007fff8ac28d18 mach_msg + 64
    2 com.apple.CoreFoundation         0x00007fff8521cfc5 __CFRunLoopServiceMachPort + 181
    3 com.apple.CoreFoundation         0x00007fff8521c5e9 __CFRunLoopRun + 1161
    4 com.apple.CoreFoundation         0x00007fff8521bf25 CFRunLoopRunSpecific + 309
    5 com.apple.AVCVideoServices      0x00000001210bed72 AVS::AVCVideoServicesThreadStart(AVS::AVCVideoServicesThreadParams*) + 194
    6 libsystem_pthread.dylib              0x00007fff8acfb899 _pthread_body + 138
    7   libsystem_pthread.dylib              0x00007fff8acfb72a _pthread_start + 137
    8 libsystem_pthread.dylib              0x00007fff8acfffc9 thread_start + 13
    Thread 10:
    0 libsystem_kernel.dylib                 0x00007fff8ac29a1a mach_msg_trap + 10
    1 libsystem_kernel.dylib                 0x00007fff8ac28d18 mach_msg + 64
    2 com.apple.CoreFoundation         0x00007fff8521cfc5 __CFRunLoopServiceMachPort + 181
    3 com.apple.CoreFoundation         0x00007fff8521c5e9 __CFRunLoopRun + 1161
    4 com.apple.CoreFoundation         0x00007fff8521bf25 CFRunLoopRunSpecific + 309
    5 com.apple.AVCVideoServices      0x00000001210bed72 AVS::AVCVideoServicesThreadStart(AVS::AVCVideoServicesThreadParams*) + 194
    6 libsystem_pthread.dylib              0x00007fff8acfb899 _pthread_body + 138
    7 libsystem_pthread.dylib              0x00007fff8acfb72a _pthread_start + 137
    8 libsystem_pthread.dylib              0x00007fff8acfffc9 thread_start + 13
    Thread 11:
    0 libsystem_kernel.dylib                 0x00007fff8ac2d716 __psynch_cvwait + 10
    1 libsystem_pthread.dylib              0x00007fff8acfdc3b _pthread_cond_wait + 727
    2 com.apple.CoreServices.CarbonCore     0x00007fff8cc1c800 TSWaitOnCondition + 108
    3 com.apple.CoreServices.CarbonCore     0x00007fff8cc1c9ff TSWaitOnConditionTimedRelative + 172
    4 com.apple.CoreServices.CarbonCore     0x00007fff8cbed145 MPWaitOnQueue + 192
    5 com.adobe.dvacore.framework              0x00000001003851cc dvacore::threads::ThreadSafeDelayQueue::PopWithTimeout(std::auto_ptr<dvacore::threads::Al locatedFunctionT<boost::function<void ()> > >&, int) + 140
    6 com.adobe.dvacore.framework              0x00000001003831b0 dvacore::threads::(anonymous namespace)::ThreadedWorkQueue::WorkerMain(boost::shared_ptr<dvacore::threads::ThreadSafeD elayQueue> const&, boost::shared_ptr<dvacore::threads::Gate> const&) + 144
    7 com.adobe.dvacore.framework              0x000000010037534c boost::function0<void>::operator()() const + 28
    8 com.adobe.dvacore.framework              0x0000000100380133 dvacore::threads::(anonymous namespace)::LaunchThread(std::string const&, boost::function0<void> const&, dvacore::threads::ThreadPriority, boost::function<void ()> const&, boost::function<void ()> const&) + 115
    9 com.adobe.boost_threads.framework    0x00000001002928ae thread_proxy + 158
    10 libsystem_pthread.dylib            0x00007fff8acfb899 _pthread_body + 138
    11 libsystem_pthread.dylib            0x00007fff8acfb72a _pthread_start + 137
    12 libsystem_pthread.dylib            0x00007fff8acfffc9 thread_start + 13
    Thread 12:
    0 libsystem_kernel.dylib                 0x00007fff8ac2d716 __psynch_cvwait + 10
    1 libsystem_pthread.dylib              0x00007fff8acfdc77 _pthread_cond_wait + 787
    2 com.apple.CoreServices.CarbonCore     0x00007fff8cc1c9e7 TSWaitOnConditionTimedRelative + 148
    3 com.apple.CoreServices.CarbonCore     0x00007fff8cbed145 MPWaitOnQueue + 192
    4 com.adobe.dvacore.framework              0x00000001003851cc dvacore::threads::ThreadSafeDelayQueue::PopWithTimeout(std::auto_ptr<dvacore::threads::Al locatedFunctionT<boost::function<void ()> > >&, int) + 140
    5 com.adobe.dvacore.framework              0x00000001003831b0 dvacore::threads::(anonymous namespace)::ThreadedWorkQueue::WorkerMain(boost::shared_ptr<dvacore::threads::ThreadSafeD elayQueue> const&, boost::shared_ptr<dvacore::threads::Gate> const&) + 144
    6 com.adobe.dvacore.framework              0x000000010037534c boost::function0<void>::operator()() const + 28
    7   com.adobe.dvacore.framework              0x0000000100380133 dvacore::threads::(anonymous namespace)::LaunchThread(std::string const&, boost::function0<void> const&, dvacore::threads::ThreadPriority, boost::function<void ()> const&, boost::function<void ()> const&) + 115
    8   com.adobe.boost_threads.framework    0x00000001002928ae thread_proxy + 158
    9 libsystem_pthread.dylib              0x00007fff8acfb899 _pthread_body + 138
    10 libsystem_pthread.dylib            0x00007fff8acfb72a _pthread_start + 137
    11 libsystem_pthread.dylib            0x00007fff8acfffc9 thread_start + 13
    Thread 13:
    0 libsystem_kernel.dylib                 0x00007fff8ac29a1a mach_msg_trap + 10
    1 libsystem_kernel.dylib                 0x00007fff8ac28d18 mach_msg + 64
    2 com.apple.CoreServices.CarbonCore     0x00007fff8cc199dc TS_exception_listener_thread + 100
    3 libsystem_pthread.dylib              0x00007fff8acfb899 _pthread_body + 138
    4 libsystem_pthread.dylib              0x00007fff8acfb72a _pthread_start + 137
    5 libsystem_pthread.dylib              0x00007fff8acfffc9 thread_start + 13
    Thread 14:
    0 libsystem_kernel.dylib                 0x00007fff8ac2da3a __semwait_signal + 10
    1 libsystem_c.dylib             0x00007fff90f84dc0 nanosleep + 200
    2 com.adobe.ScriptLayerPPro.framework            0x0000000106b06c58 ScObjects::Thread::wait(unsigned int) + 56
    3   com.adobe.ScriptLayerPPro.framework            0x0000000106af213e ScObjects::BridgeTalkThread::run() + 174
    4 com.adobe.ScriptLayerPPro.framework            0x0000000106b067f5 ScObjects::Thread::go(void*) + 165
    5 libsystem_pthread.dylib              0x00007fff8acfb899 _pthread_body + 138
    6 libsystem_pthread.dylib              0x00007fff8acfb72a _pthread_start + 137
    7 libsystem_pthread.dylib              0x00007fff8acfffc9 thread_start + 13
    Thread 15:
    0 libsystem_kernel.dylib                 0x00007fff8ac2d91a __recvfrom + 10
    1 ServiceManager-Launcher.dylib            0x000000011b54e1a1 0x11b536000 + 98721
    2 ServiceManager-Launcher.dylib            0x000000011b54d4bc 0x11b536000 + 95420
    3 ServiceManager-Launcher.dylib            0x000000011b54c55e 0x11b536000 + 91486
    4 ServiceManager-Launcher.dylib            0x000000011b54c5cc 0x11b536000 + 91596
    5 ServiceManager-Launcher.dylib            0x000000011b5471c4 0x11b536000 + 70084
    6 ServiceManager-Launcher.dylib            0x000000011b547bde 0x11b536000 + 72670
    7 ServiceManager-Launcher.dylib            0x000000011b547aeb 0x11b536000 + 72427
    8   ServiceManager-Launcher.dylib 0x000000011b54b24e 0x11b536000 + 86606
    9 ServiceManager-Launcher.dylib            0x000000011b54b392 0x11b536000 + 86930
    10 ServiceManager-Launcher.dylib           0x000000011b54b14d 0x11b536000 + 86349
    11 ServiceManager-Launcher.dylib           0x000000011b54b0c6 0x11b536000 + 86214
    12 ServiceManager-Launcher.dylib           0x000000011b5397d6 0x11b536000 + 14294
    13 ServiceManager-Launcher.dylib           0x000000011b53d9c5 0x11b536000 + 31173
    14 ServiceManager-Launcher.dylib           0x000000011b54bd2c 0x11b536000 + 89388
    15  ServiceManager-Launcher.dylib 0x000000011b54de63 0x11b536000 + 97891
    16 libsystem_pthread.dylib            0x00007fff8acfb899 _pthread_body + 138
    17 libsystem_pthread.dylib            0x00007fff8acfb72a _pthread_start + 137
    18 libsystem_pthread.dylib            0x00007fff8acfffc9 thread_start + 13
    Thread 16:
    0 libsystem_kernel.dylib                 0x00007fff8ac2d716 __psynch_cvwait + 10
    1 libsystem_pthread.dylib              0x00007fff8acfdc3b _pthread_cond_wait + 727
    2 com.apple.CoreServices.CarbonCore     0x00007fff8cc1c800 TSWaitOnCondition + 108
    3 com.apple.CoreServices.CarbonCore     0x00007fff8cc1c9ff TSWaitOnConditionTimedRelative + 172
    4 com.apple.CoreServices.CarbonCore     0x00007fff8cbed145 MPWaitOnQueue + 192
    5 com.adobe.dvacore.framework              0x00000001003851cc dvacore::threads::ThreadSafeDelayQueue::PopWithTimeout(std::auto_ptr<dvacore::threads::Al locatedFunctionT<boost::function<void ()> > >&, int) + 140
    6 com.adobe.dvacore.framework              0x00000001003831b0 dvacore::threads::(anonymous namespace)::ThreadedWorkQueue::WorkerMain(boost::shared_ptr<dvacore::threads::ThreadSafeD elayQueue> const&, boost::shared_ptr<dvacore::threads::Gate> const&) + 144
    7 com.adobe.dvacore.framework              0x000000010037534c boost::function0<void>::operator()() const + 28
    8 com.adobe.dvacore.framework              0x0000000100380133 dvacore::threads::(anonymous namespace)::LaunchThread(std::string const&, boost::function0<void> const&, dvacore::threads::ThreadPriority, boost::function<void ()> const&, boost::function<void ()> const&) + 115
    9   com.adobe.boost_threads.framework    0x00000001002928ae thread_proxy + 158
    10 libsystem_pthread.dylib            0x00007fff8acfb899 _pthread_body + 138
    11 libsystem_pthread.dylib            0x00007fff8acfb72a _pthread_start + 137
    12 libsystem_pthread.dylib            0x00007fff8acfffc9 thread_start + 13
    Thread 17:
    0 libsystem_kernel.dylib                 0x00007fff8ac2e64a kevent + 10
    1 com.adobe.MediaFoundation.framework          0x000000010129eca0 MF::DirectoryChangeMonitor::(anonymous namespace)::EventQueueThreadProc(void*) + 688
    2   libsystem_pthread.dylib              0x00007fff8acfb899 _pthread_body + 138
    3 libsystem_pthread.dylib              0x00007fff8acfb72a _pthread_start + 137
    4 libsystem_pthread.dylib              0x00007fff8acfffc9 thread_start + 13
    Thread 18:
    0 libsystem_kernel.dylib                 0x00007fff8ac29a1a mach_msg_trap + 10
    1 libsystem_kernel.dylib                 0x00007fff8ac28d18 mach_msg + 64
    2 com.apple.CoreFoundation         0x00007fff8521cfc5 __CFRunLoopServiceMachPort + 181
    3 com.apple.CoreFoundation         0x00007fff8521c5e9 __CFRunLoopRun + 1161
    4 com.apple.CoreFoundation         0x00007fff8521bf25 CFRunLoopRunSpecific + 309
    5 com.apple.AppKit                         0x00007fff87ac416e _NSEventThread + 144
    6 libsystem_pthread.dylib              0x00007fff8acfb899 _pthread_body + 138
    7   libsystem_pthread.dylib              0x00007fff8acfb72a _pthread_start + 137
    8 libsystem_pthread.dylib              0x00007fff8acfffc9 thread_start + 13
    Thread 19:
    0 libsystem_kernel.dylib                 0x00007fff8ac29a1a mach_msg_trap + 10
    1 libsystem_kernel.dylib                 0x00007fff8ac28d18 mach_msg + 64
    2 com.apple.CoreFoundation         0x00007fff8521cfc5 __CFRunLoopServiceMachPort + 181
    3 com.apple.CoreFoundation         0x00007fff8521c5e9 __CFRunLoopRun + 1161
    4 com.apple.CoreFoundation         0x00007fff8521bf25 CFRunLoopRunSpecific + 309
    5 com.apple.AVCVideoServices      0x00000001210bed72 AVS::AVCVideoServicesThreadStart(AVS::AVCVideoServicesThreadParams*) + 194
    6 libsystem_pthread.dylib              0x00007fff8acfb899 _pthread_body + 138
    7 libsystem_pthread.dylib              0x00007fff8acfb72a _pthread_start + 137
    8 libsystem_pthread.dylib              0x00007fff8acfffc9 thread_start + 13
    Thread 20

    Kevin-
    If I split my sequence into 2, first using only a couple min with 4k footage and NO high res stills, it takes nearly 3 hours, seems to want to crash mid way through  again - but doesn't.
    Then exporting the final 90 seconds of the sequence, with a couple small 4k clips and about 2000 high res stills (I left them at 6000 pixels) renders in about 40 min and doesn't hiccup whatsoever.
    it does not seem to be related to the stills.
    most 4k footage is mjpeg from Canon 1dc
    This was on a second machine - a new Macbook pro i7- (if I try to render sequence all at once- same crash as on iMac)
    R

  • Cursor query works in anonymous block but not in procedure

    Hello,
    My cursor query works fine in anonymous blcok but fails in pl/sql block.
    Anonymous block:
    declare
    cursor c1 is
    select object_name
    from all_objects
    where owner='IRIS_DATA'
    and object_type='SEQUENCE';
    v_string varchar2(2000);
    begin
    for c2 in c1 loop
    v_string := 'DROP SEQUENCE IRIS_DATA.'||c2.object_name;
    execute immediate v_string;
    end loop;
    commit;
    exception
    when others then
    dbms_output.put_line('Exception :'||sqlerrm);
    end;
    works fine.
    but inside the procedure the it doesn't go inside the cursor loop
    procedure get_sequence is
    l_dp_handle NUMBER;
    v_job_state varchar2(4000);
    l_last_job_state VARCHAR2(30) := 'UNDEFINED';
    l_job_state VARCHAR2(30) := 'UNDEFINED';
    l_sts KU$_STATUS;
    v_logs ku$_LogEntry;
    v_row PLS_INTEGER;
    v_string1 varchar2(2000);
    cursor seq_obj is
    select object_name
    from all_objects
    where owner='IRIS_DATA'
    and object_type='SEQUENCE';
    begin
         log_status('get_sequence started.');
         --Cursor records to drop the sequences before importing.
         for seq_obj_rec in seq_obj loop
    log_status('get_sequence: Dropping sequence started.');
         v_string1 := 'DROP SEQUENCE IRIS_DATA.'||seq_obj_rec.object_name;
    execute immediate v_string1;
         end loop;
         log_status('get_sequence: Dropping sequence completed.');
    exception
    WHEN OTHERS THEN
    log_status('get_sequence: exception.');
    end get_sequence;
    it's not going into the seq_obj_rec cursor.
    I granted select on all_objects to the user.this user is also having the DBA role as well.
    Please advice.

    PROCEDURE Get_sequence
    IS
      l_dp_handle      NUMBER;
      v_job_state      VARCHAR2(4000);
      l_last_job_state VARCHAR2(30) := 'UNDEFINED';
      l_job_state      VARCHAR2(30) := 'UNDEFINED';
      l_sts            KU$_STATUS;
      v_logs           KU$_LOGENTRY;
      v_row            PLS_INTEGER;
      v_string1        VARCHAR2(2000);
      CURSOR seq_obj IS
        SELECT object_name
        FROM   all_objects
        WHERE  owner = 'IRIS_DATA'
               AND object_type = 'SEQUENCE';
    BEGIN
        Log_status('get_sequence started.');
        --Cursor records to drop the sequences before importing.
        FOR seq_obj_rec IN seq_obj LOOP
            Log_status('get_sequence: Dropping sequence started.');
            v_string1 := 'DROP SEQUENCE IRIS_DATA.'
                         ||seq_obj_rec.object_name;
            EXECUTE IMMEDIATE v_string1;
        END LOOP;
        Log_status('get_sequence: Dropping sequence completed.');
    EXCEPTION
      WHEN OTHERS THEN
                 Log_status('get_sequence: exception.');
    END get_sequence; How do I ask a question on the forums?
    SQL and PL/SQL FAQ
    scroll down to #9 & use tags in the future.                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                       

  • CS6 crashes every time I try to watch an image sequence. Why?

    I am trying to make an image sequence but after it is made and i put it in the time line when i go to watch it Premiere crashes. Here is the crash report.
    Process:         Adobe Premiere Pro CS6 [6126]
    Path:            /Applications/Adobe Premiere Pro CS6/Adobe Premiere Pro CS6.app/Contents/MacOS/Adobe Premiere Pro CS6
    Identifier:      com.adobe.AdobePremierePro
    Version:         6.0.2 (6.0.2)
    Code Type:       X86-64 (Native)
    Parent Process:  launchd [159]
    User ID:         501
    Date/Time:       2013-06-26 22:50:57.626 -0600
    OS Version:      Mac OS X 10.8.4 (12E55)
    Report Version:  10
    Interval Since Last Report:          866 sec
    Crashes Since Last Report:           1
    Per-App Interval Since Last Report:  121 sec
    Per-App Crashes Since Last Report:   1
    Anonymous UUID:                      3D69C4ED-68B1-41BE-F9EB-6F38DD520703
    Crashed Thread:  0  Dispatch queue: com.apple.main-thread
    Exception Type:  EXC_BAD_ACCESS (SIGSEGV)
    Exception Codes: KERN_INVALID_ADDRESS at 0x0000000000000000

    1st, please do not post entire crash reports... only send those to Adobe engineers if they ask for the information
    2nd, read Photo Scaling for Video http://forums.adobe.com/thread/450798
    -PPro Crash http://forums.adobe.com/thread/879967

  • Drop/Create sequence using Oracle Job Scheduler

    IDE for Oracle SQL Development: TOAD 9.0
    Question: I am trying to do the following:
    1. Check if a certain sequence exists in the user_sequences table
    2. Drop the sequence if it exists
    3. Re-create the same sequence afterward
    All in a job that is scheduled to run daily at 12:00 AM.
    What I would like to know is if this is even possible in the first place with Oracle jobs. I tried the following:
    1. Create the actual "BEGIN...END" anonymous block in the job.
    2. Create a procedure that uses a dynamic SQL string using the same "BEGIN...END" block that drops and recreates the sequence using the EXECUTE IMMEDIATE commands
    But I have failed on all accounts. It always produces some sort of authorization error which leads me to believe that DDL statements cannot be executed using jobs, only DML statements.
    BTW, by oracle jobs, I mean the SYS.DBMS_JOBS.SUBMIT object, not the job scheduler.
    Please do not ask me why I need to drop and recreate the sequence. It's just a business requirement that my clients gave me. I just want to know if it can be done using jobs. If not, I would like to know if there are any work-arounds possible.
    Thank you.

    Please do not ask me why I need to drop and recreate the sequence. It's just a business requirement that my clients gave me. I just want to know if it can be done using jobs. If not, I would like to know if there are any work-arounds possible.Well, I won't ask you then, but can you ask your clients why on earth they would want that?
    Do they know that doing DDL 'on the fly' will invalidate the dependent objects?
    Best shot you can give at it is reset the sequence. And you could do it in a job, yes, as long as it's interval is during some maintenance window (no active users).
    Regarding resetting a sequence, you, (and your clients) should read this followup:
    http://asktom.oracle.com/pls/apex/f?p=100:11:0::::P11_QUESTION_ID:1119633817597
    (you can find lots more info on sequences and jobs by doing a search from the homepage http://asktom.oracle.com)
    Regarding the authorization errors: your DBA should be able to provide you the nessecary privileges.
    But in the end, this is something I'd rather not would like to see implemented on a production system...

  • Task sequence failed with error 80070002

    Dears,
    I'm trying deploy OSD, it was working since yesterday but from today I'm facing a problem it's fails with the above error, i got the log file smsts and here it is
    Set command line: "OSDApplyOS.exe" /data:BHN00018,1 /target:0,1
    ApplyOperatingSystem 3/27/2013 3:05:22 PM
    1828 (0x0724)
    Adding logical drive: C: ApplyOperatingSystem
    3/27/2013 3:05:22 PM 1828 (0x0724)
    Skipping non-local logical drive: E: ApplyOperatingSystem
    3/27/2013 3:05:22 PM 1828 (0x0724)
    Adding logical drive: S: ApplyOperatingSystem
    3/27/2013 3:05:22 PM 1828 (0x0724)
    Skipping non-local logical drive: X: ApplyOperatingSystem
    3/27/2013 3:05:22 PM 1828 (0x0724)
    The volume S:\ exists and is a local hard drive.
    ApplyOperatingSystem 3/27/2013 3:05:22 PM
    1828 (0x0724)
    The volume S:\ is using a valid file system.
    ApplyOperatingSystem 3/27/2013 3:05:22 PM
    1828 (0x0724)
    Windows target partition is 0-1, driver letter is S:\
    ApplyOperatingSystem 3/27/2013 3:05:22 PM
    1828 (0x0724)
    Succeeded loading resource DLL 'X:\sms\bin\i386\1033\TSRES.DLL'
    ApplyOperatingSystem 3/27/2013 3:05:22 PM
    1828 (0x0724)
    The machine does not have a local client cache.
    ApplyOperatingSystem 3/27/2013 3:05:22 PM
    1828 (0x0724)
    ResolveSource flags: 0x00000000 ApplyOperatingSystem
    3/27/2013 3:05:22 PM 1828 (0x0724)
    SMSTSPersistContent: . The content for package BHN00018 will be persisted
    ApplyOperatingSystem 3/27/2013 3:05:22 PM
    1828 (0x0724)
    DownloadOnDemand flag is true. Attempting to download content locally for Package BHN00018..
    ApplyOperatingSystem 3/27/2013 3:05:22 PM
    1828 (0x0724)
    Package Flags: 0x1000000 ApplyOperatingSystem
    3/27/2013 3:05:22 PM 1828 (0x0724)
    GetDirectoryListing() entered ApplyOperatingSystem
    3/27/2013 3:05:22 PM 1828 (0x0724)
    Initializing HTTP transport. ApplyOperatingSystem
    3/27/2013 3:05:22 PM 1828 (0x0724)
       Setting URL = http://PRBAHVSSCCM.ARABBANKING.LOCAL/SMS_DP_SMSPKG$/BHN00018.
    ApplyOperatingSystem 3/27/2013 3:05:22 PM
    1828 (0x0724)
       Address=http://PRBAHVSSCCM.ARABBANKING.LOCAL, Scheme=http, Object=/SMS_DP_SMSPKG$/BHN00018, Port=80.
    ApplyOperatingSystem 3/27/2013 3:05:22 PM
    1828 (0x0724)
       Setting Authenticator. ApplyOperatingSystem
    3/27/2013 3:05:22 PM 1828 (0x0724)
    Set authenticator in transport ApplyOperatingSystem
    3/27/2013 3:05:22 PM 1828 (0x0724)
    WinHttp credentials set ApplyOperatingSystem
    3/27/2013 3:05:22 PM 1828 (0x0724)
    CLibSMSMessageWinHttpTransport::Send: URL: PRBAHVSSCCM.ARABBANKING.LOCAL:80  PROPFIND /SMS_DP_SMSPKG$/BHN00018
    ApplyOperatingSystem 3/27/2013 3:05:22 PM
    1828 (0x0724)
    401 - Authentication failure on request with anonymous access, retrying with context credentials.
    ApplyOperatingSystem 3/27/2013 3:05:22 PM
    1828 (0x0724)
    Using thread token for request ApplyOperatingSystem
    3/27/2013 3:05:22 PM 1828 (0x0724)
    401 - Authentication failure on request with context credentials, retrying with supplied credentials.
    ApplyOperatingSystem 3/27/2013 3:05:22 PM
    1828 (0x0724)
    Request was succesful. ApplyOperatingSystem
    3/27/2013 3:05:22 PM 1828 (0x0724)
    DAV response string is: 
     <?xml version="1.0" encoding="utf-8" ?><D:multistatus xmlns:D="DAV:"><D:response><D:href>http://PRBAHVSSCCM.ARABBANKING.LOCAL/SMS_DP_SMSPKG$/sccm?/BHN00018/</D:href><D:propstat><D:status>HTTP/1.1
    200 OK</D:status><D:prop><D:getcontenttype/><D:supportedlock/><D:getetag/><D:creationdate/><D:iscollection>1</D:iscollection><D:resourcetype><D:collection/></D:resourcetype><D:ishidden>0</D:ishidden><D:displayname>http://PRBAHVSSCCM.ARABBANKING.LOCAL/SMS_DP_SMSPKG$/sccm?/BHN00018/</D:displayname><D:getlastmodified></D:getlastmodified><D:getcontentlanguage/><D:getcontentlength>0</D:getcontentlength></D:prop></D:propstat></D:response><D:response><D:href>http://PRBAHVSSCCM.ARABBANKING.LOCAL/SMS_DP_SMSPKG$/BHN00018/sccm?/Win7-x86-BasicApps.wim</D:href><D:propstat><D:status>HTTP/1.1
    200 OK</D:status><D:prop><D:getcontenttype/><D:lockdiscovery/><D:supportedlock/><D:getetag/><D:getcontentlanguage/><D:iscollection>0</D:iscollection><D:creationdate/><D:resourcetype/><D:ishidden>0</D:ishidden><D:displayname>http://PRBAHVSSCCM.ARABBANKING.LOCAL/SMS_DP_SMSPKG$/BHN00018/sccm?/Win7-x86-BasicApps.wim</D:displayname><D:getlastmodified>Thu,
    21 Mar 2013 09:21:33 GMT</D:getlastmodified><D:getcontentlength>4984262577</D:getcontentlength></D:prop></D:propstat></D:response></D:multistatus>
    ApplyOperatingSystem 3/27/2013 3:05:22 PM
    1828 (0x0724)
    List of files to be downloaded ApplyOperatingSystem
    3/27/2013 3:05:22 PM 1828 (0x0724)
      File: http://PRBAHVSSCCM.ARABBANKING.LOCAL:80/SMS_DP_SMSPKG$/BHN00018/sccm?/Win7-x86-BasicApps.wim
    ApplyOperatingSystem 3/27/2013 3:05:22 PM
    1828 (0x0724)
    GetDirectoryListing() successfully completed
    ApplyOperatingSystem 3/27/2013 3:05:22 PM
    1828 (0x0724)
    Succeeded loading resource DLL 'X:\sms\bin\i386\1033\TSRES.DLL'
    ApplyOperatingSystem 3/27/2013 3:05:22 PM
    1828 (0x0724)
    dwStatusCode >= 200 && dwStatusCode <= 299, HRESULT=801901f4 (e:\nts_sccm_release\sms\framework\tscore\downloadcontent.cpp,1304)
    ApplyOperatingSystem 3/27/2013 3:05:23 PM
    1828 (0x0724)
    WinHttpQueryHeaders() returns status code 500 (Internal Server Error)
    ApplyOperatingSystem 3/27/2013 3:05:23 PM
    1828 (0x0724)
    SendWinHttpRequest failed. 801901f4. ApplyOperatingSystem
    3/27/2013 3:05:23 PM 1828 (0x0724)
    SendWinHttpRequest (hSession, hConnect, hRequest, sRequest.c_str(), 0, bUseSSL, ullFileSize, LastGoodCredentialsType), HRESULT=801901f4 (e:\nts_sccm_release\sms\framework\tscore\downloadcontent.cpp,1542)
    ApplyOperatingSystem 3/27/2013 3:05:23 PM
    1828 (0x0724)
    DownloadFile failed. 801901f4. ApplyOperatingSystem
    3/27/2013 3:05:23 PM 1828 (0x0724)
    DownloadFile (hSession, hConnect, sSourceFile.c_str(), sDestinationFile.c_str(), ulTotalSizeRequired, ulDownLoaded, LastGoodCredentialsType, bUseSSL), HRESULT=801901f4 (e:\nts_sccm_release\sms\framework\tscore\downloadcontent.cpp,1648)
    ApplyOperatingSystem 3/27/2013 3:05:23 PM
    1828 (0x0724)
    Error downloading file from http://PRBAHVSSCCM.ARABBANKING.LOCAL:80/SMS_DP_SMSPKG$/BHN00018/sccm?/Win7-x86-BasicApps.wim to C:\_SMSTaskSequence\Packages\BHN00018\Win7-x86-BasicApps.wim
    ApplyOperatingSystem
    3/27/2013 3:05:23 PM 1828 (0x0724)
    DownloadFiles failed. 801901f4. ApplyOperatingSystem
    3/27/2013 3:05:23 PM 1828 (0x0724)
    DownloadFiles (setDirs, setFiles, sDestination.c_str(), bUseSSL), HRESULT=801901f4 (e:\nts_sccm_release\sms\framework\tscore\resolvesource.cpp,2523)
    ApplyOperatingSystem 3/27/2013 3:05:23 PM
    1828 (0x0724)
    Retrying download... ApplyOperatingSystem
    3/27/2013 3:05:23 PM 1828 (0x0724)
    GetDirectoryListing() entered ApplyOperatingSystem
    3/27/2013 3:05:26 PM 1828 (0x0724)
    Initializing HTTP transport. ApplyOperatingSystem
    3/27/2013 3:05:26 PM 1828 (0x0724)
       Setting URL = http://PRBAHVSSCCM.ARABBANKING.LOCAL/SMS_DP_SMSPKG$/BHN00018.
    ApplyOperatingSystem 3/27/2013 3:05:26 PM
    1828 (0x0724)
       Address=http://PRBAHVSSCCM.ARABBANKING.LOCAL, Scheme=http, Object=/SMS_DP_SMSPKG$/BHN00018, Port=80.
    ApplyOperatingSystem 3/27/2013 3:05:26 PM
    1828 (0x0724)
       Setting Authenticator. ApplyOperatingSystem
    3/27/2013 3:05:26 PM 1828 (0x0724)
    Set authenticator in transport ApplyOperatingSystem
    3/27/2013 3:05:26 PM 1828 (0x0724)
    WinHttp credentials set ApplyOperatingSystem
    3/27/2013 3:05:26 PM 1828 (0x0724)
    CLibSMSMessageWinHttpTransport::Send: URL: PRBAHVSSCCM.ARABBANKING.LOCAL:80  PROPFIND /SMS_DP_SMSPKG$/BHN00018
    ApplyOperatingSystem 3/27/2013 3:05:26 PM
    1828 (0x0724)
    401 - Authentication failure on request with anonymous access, retrying with context credentials.
    ApplyOperatingSystem 3/27/2013 3:05:26 PM
    1828 (0x0724)
    Using thread token for request ApplyOperatingSystem
    3/27/2013 3:05:26 PM 1828 (0x0724)
    401 - Authentication failure on request with context credentials, retrying with supplied credentials.
    ApplyOperatingSystem 3/27/2013 3:05:26 PM
    1828 (0x0724)
    Request was succesful. ApplyOperatingSystem
    3/27/2013 3:05:26 PM 1828 (0x0724)
    DAV response string is: 
     <?xml version="1.0" encoding="utf-8" ?><D:multistatus xmlns:D="DAV:"><D:response><D:href>http://PRBAHVSSCCM.ARABBANKING.LOCAL/SMS_DP_SMSPKG$/sccm?/BHN00018/</D:href><D:propstat><D:status>HTTP/1.1
    200 OK</D:status><D:prop><D:getcontenttype/><D:supportedlock/><D:getetag/><D:creationdate/><D:iscollection>1</D:iscollection><D:resourcetype><D:collection/></D:resourcetype><D:ishidden>0</D:ishidden><D:displayname>http://PRBAHVSSCCM.ARABBANKING.LOCAL/SMS_DP_SMSPKG$/sccm?/BHN00018/</D:displayname><D:getlastmodified></D:getlastmodified><D:getcontentlanguage/><D:getcontentlength>0</D:getcontentlength></D:prop></D:propstat></D:response><D:response><D:href>http://PRBAHVSSCCM.ARABBANKING.LOCAL/SMS_DP_SMSPKG$/BHN00018/sccm?/Win7-x86-BasicApps.wim</D:href><D:propstat><D:status>HTTP/1.1
    200 OK</D:status><D:prop><D:getcontenttype/><D:lockdiscovery/><D:supportedlock/><D:getetag/><D:getcontentlanguage/><D:iscollection>0</D:iscollection><D:creationdate/><D:resourcetype/><D:ishidden>0</D:ishidden><D:displayname>http://PRBAHVSSCCM.ARABBANKING.LOCAL/SMS_DP_SMSPKG$/BHN00018/sccm?/Win7-x86-BasicApps.wim</D:displayname><D:getlastmodified>Thu,
    21 Mar 2013 09:21:33 GMT</D:getlastmodified><D:getcontentlength>4984262577</D:getcontentlength></D:prop></D:propstat></D:response></D:multistatus>
    ApplyOperatingSystem 3/27/2013 3:05:26 PM
    1828 (0x0724)
    List of files to be downloaded ApplyOperatingSystem
    3/27/2013 3:05:26 PM 1828 (0x0724)
      File: http://PRBAHVSSCCM.ARABBANKING.LOCAL:80/SMS_DP_SMSPKG$/BHN00018/sccm?/Win7-x86-BasicApps.wim
    ApplyOperatingSystem 3/27/2013 3:05:26 PM
    1828 (0x0724)
    GetDirectoryListing() successfully completed
    ApplyOperatingSystem 3/27/2013 3:05:26 PM
    1828 (0x0724)
    dwStatusCode >= 200 && dwStatusCode <= 299, HRESULT=801901f4 (e:\nts_sccm_release\sms\framework\tscore\downloadcontent.cpp,1304)
    ApplyOperatingSystem 3/27/2013 3:05:26 PM
    1828 (0x0724)
    WinHttpQueryHeaders() returns status code 500 (Internal Server Error)
    ApplyOperatingSystem 3/27/2013 3:05:26 PM
    1828 (0x0724)
    SendWinHttpRequest failed. 801901f4. ApplyOperatingSystem
    3/27/2013 3:05:26 PM 1828 (0x0724)
    SendWinHttpRequest (hSession, hConnect, hRequest, sRequest.c_str(), 0, bUseSSL, ullFileSize, LastGoodCredentialsType), HRESULT=801901f4 (e:\nts_sccm_release\sms\framework\tscore\downloadcontent.cpp,1542)
    ApplyOperatingSystem 3/27/2013 3:05:26 PM
    1828 (0x0724)
    DownloadFile failed. 801901f4. ApplyOperatingSystem
    3/27/2013 3:05:26 PM 1828 (0x0724)
    DownloadFile (hSession, hConnect, sSourceFile.c_str(), sDestinationFile.c_str(), ulTotalSizeRequired, ulDownLoaded, LastGoodCredentialsType, bUseSSL), HRESULT=801901f4 (e:\nts_sccm_release\sms\framework\tscore\downloadcontent.cpp,1648)
    ApplyOperatingSystem 3/27/2013 3:05:26 PM
    1828 (0x0724)
    Error downloading file from http://PRBAHVSSCCM.ARABBANKING.LOCAL:80/SMS_DP_SMSPKG$/BHN00018/sccm?/Win7-x86-BasicApps.wim to C:\_SMSTaskSequence\Packages\BHN00018\Win7-x86-BasicApps.wim
    ApplyOperatingSystem
    3/27/2013 3:05:26 PM 1828 (0x0724)
    DownloadFiles failed. 801901f4. ApplyOperatingSystem
    3/27/2013 3:05:26 PM 1828 (0x0724)
    DownloadFiles (setDirs, setFiles, sDestination.c_str(), bUseSSL), HRESULT=801901f4 (e:\nts_sccm_release\sms\framework\tscore\resolvesource.cpp,2523)
    ApplyOperatingSystem 3/27/2013 3:05:26 PM
    1828 (0x0724)
    Retrying download... ApplyOperatingSystem
    3/27/2013 3:05:26 PM 1828 (0x0724)
    Tried all the available http based locations. SMB based locations will be attempted now.
    ApplyOperatingSystem 3/27/2013 3:05:29 PM
    1828 (0x0724)
    !saSMBContentSources.empty(), HRESULT=80070002 (e:\nts_sccm_release\sms\framework\tscore\resolvesource.cpp,2948)
    ApplyOperatingSystem 3/27/2013 3:05:29 PM
    1828 (0x0724)
    DownloadContentAndVerifyHash(pszPackageID, L"SMSPackage", saHttpContentSources, saSMBContentSources, saMulticastContentSources, sDestination, dwFlags, L"", 0, dwPackageFlags, pszUserName, pszUserPassword ), HRESULT=80070002 (e:\nts_sccm_release\sms\framework\tscore\resolvesource.cpp,3106)
    ApplyOperatingSystem 3/27/2013 3:05:29 PM
    1828 (0x0724)
    DownloadContentLocally(pszSource, sSourceDirectory, dwFlags, hUserToken, pszUserName, pszUserPassword), HRESULT=80070002 (e:\nts_sccm_release\sms\framework\tscore\resolvesource.cpp,3331)
    ApplyOperatingSystem 3/27/2013 3:05:29 PM
    1828 (0x0724)
    ResolveSource(pszSource, sSourceDirectory, dwFlags, 0, 0, 0), HRESULT=80070002 (e:\nts_sccm_release\sms\framework\tscore\resolvesource.cpp,3221)
    ApplyOperatingSystem 3/27/2013 3:05:29 PM
    1828 (0x0724)
    TS::Utility::ResolveSource( this->packageID, this->packagePath ), HRESULT=80070002 (e:\nts_sccm_release\sms\client\osdeployment\applyos\installimage.cpp,1483)
    ApplyOperatingSystem 3/27/2013 3:05:29 PM
    1828 (0x0724)
    resolvePkgSource(), HRESULT=80070002 (e:\nts_sccm_release\sms\client\osdeployment\applyos\installimage.cpp,1503)
    ApplyOperatingSystem 3/27/2013 3:05:29 PM
    1828 (0x0724)
    Apply(), HRESULT=80070002 (e:\nts_sccm_release\sms\client\osdeployment\applyos\installimage.cpp,1712)
    ApplyOperatingSystem 3/27/2013 3:05:29 PM
    1828 (0x0724)
    Installation of image 1 in package BHN00018 failed to complete.. 
    The system cannot find the file specified. (Error: 80070002; Source: Windows)
    ApplyOperatingSystem 3/27/2013 3:05:29 PM
    1828 (0x0724)
    installer.install(), HRESULT=80070002 (e:\nts_sccm_release\sms\client\osdeployment\applyos\installimage.cpp,1787)
    ApplyOperatingSystem 3/27/2013 3:05:29 PM
    1828 (0x0724)
    Entering ReleaseSource() for C:\_SMSTaskSequence\Packages\BHN00018
    ApplyOperatingSystem 3/27/2013 3:05:29 PM
    1828 (0x0724)
    The user tries to release a source directory C:\_SMSTaskSequence\Packages\BHN00018 that is either already released or we have not connected to it
    ApplyOperatingSystem 3/27/2013 3:05:29 PM
    1828 (0x0724)
    InstallImage( g_InstallPackageID, g_ImageIndex, targetVolume, ImageType_Data, g_ConfigPackageID, g_ConfigFileName, false, g_RunFromNet ), HRESULT=80070002 (e:\nts_sccm_release\sms\client\osdeployment\applyos\applyos.cpp,522)
    ApplyOperatingSystem 3/27/2013 3:05:29 PM
    1828 (0x0724)
    Process completed with exit code 2147942402
    TSManager 3/27/2013 3:05:29 PM
    1456 (0x05B0)
    TSManager 3/27/2013 3:05:29 PM
    1456 (0x05B0)
    Failed to run the action: Apply Data Image 1. 
    The system cannot find the file specified. (Error: 80070002; Source: Windows)
    TSManager 3/27/2013 3:05:29 PM
    1456 (0x05B0)
    MP server http://PRBAHVSSCCM.ARABBANKING.LOCAL. Ports 80,443. CRL=false.
    TSManager 3/27/2013 3:05:29 PM
    1456 (0x05B0)
    Setting authenticator TSManager
    3/27/2013 3:05:29 PM 1456 (0x05B0)
    Set authenticator in transport TSManager
    3/27/2013 3:05:29 PM 1456 (0x05B0)
    Sending StatusMessage TSManager
    3/27/2013 3:05:29 PM 1456 (0x05B0)
    Setting message signatures. TSManager
    3/27/2013 3:05:29 PM 1456 (0x05B0)
    Setting the authenticator. TSManager
    3/27/2013 3:05:29 PM 1456 (0x05B0)
    CLibSMSMessageWinHttpTransport::Send: URL: PRBAHVSSCCM.ARABBANKING.LOCAL:80  CCM_POST /ccm_system/request
    TSManager 3/27/2013 3:05:29 PM
    1456 (0x05B0)
    Request was succesful. TSManager
    3/27/2013 3:05:29 PM 1456 (0x05B0)
    Set a global environment variable _SMSTSLastActionRetCode=-2147024894
    TSManager 3/27/2013 3:05:29 PM
    1456 (0x05B0)
    Set a global environment variable _SMSTSLastActionSucceeded=false
    TSManager 3/27/2013 3:05:29 PM
    1456 (0x05B0)
    Clear local default environment TSManager
    3/27/2013 3:05:29 PM 1456 (0x05B0)
    Let the parent group (Install Operating System) decides whether to continue execution
    TSManager 3/27/2013 3:05:29 PM
    1456 (0x05B0)
    The execution of the group (Install Operating System) has failed and the execution has been aborted. An action failed.
    Operation aborted (Error: 80004004; Source: Windows)
    TSManager 3/27/2013 3:05:29 PM
    1456 (0x05B0)
    Failed to run the last action: Apply Data Image 1. Execution of task sequence failed.
    The system cannot find the file specified. (Error: 80070002; Source: Windows)
    TSManager 3/27/2013 3:05:29 PM
    1456 (0x05B0)
    MP server http://PRBAHVSSCCM.ARABBANKING.LOCAL. Ports 80,443. CRL=false.
    TSManager 3/27/2013 3:05:29 PM
    1456 (0x05B0)
    and when trying to open location from IIS of the http://localhost:80/SMS_DP_SMSPKG$
    i got the following error
    I don't know it is related or not, do you have any idea about that

    This post may be of some help..
    http://social.technet.microsoft.com/Forums/en-US/configmanagerdeployment/thread/baa2d7e0-599d-4bd0-a375-8905a7d0b2ff/
    Delphin

  • Jax-ws 2.2.8 and ws-addressing: Client throwing java.lang.NullPointerException on receipt of HTTP 202 when using non-anonymous ReplyTo address

    Server: JBoss EAP 6.2.0
    Client: JDK 1.7.0_51 x64
    JAX-WS: RI 2.2.8 ( via -Djava.endorsed.dirs )
    I am getting a java.lang.NullPointerException when calling the operation on the WS endpoint from the client when using non-anonymous replyTo address.
    I have simplified the scenario into a small test case that hopefully others can replicate. Since the exception is happening on the client instead of the server, I would think that the container used is irrelevant, but I have specified it nonetheless.
    1) WebService:
    package test.webservice;
    import java.util.Random;
    import javax.jws.WebMethod;
    import javax.jws.WebParam;
    import javax.jws.WebService;
    import javax.jws.soap.SOAPBinding;
    import javax.xml.ws.soap.Addressing;
    @WebService(targetNamespace="http://services.nowhere.org/")
    @Addressing(required=true)
    @SOAPBinding(style = SOAPBinding.Style.DOCUMENT)
    public class RandomTest {
        @WebMethod
        public long nextRandom(@WebParam boolean forceException) throws Exception {
            if( forceException ) {
                throw new Exception("Some exception");
            Random rand = new Random();
            return rand.nextLong();
    2) Generated WSDL by JBossEAP 6.2.2:
    <?xml version='1.0' encoding='UTF-8'?><wsdl:definitions xmlns:xsd="http://www.w3.org/2001/XMLSchema" xmlns:wsp="http://www.w3.org/ns/ws-policy" xmlns:wsdl="http://schemas.xmlsoap.org/wsdl/" xmlns:wsaw="http://www.w3.org/2006/05/addressing/wsdl" xmlns:wsam="http://www.w3.org/2007/05/addressing/metadata" xmlns:tns="http://webservice.test/" xmlns:soap="http://schemas.xmlsoap.org/wsdl/soap/" xmlns:ns1="http://schemas.xmlsoap.org/soap/http" name="RandomTestService" targetNamespace="http://webservice.test/">
      <wsdl:types>
    <xs:schema xmlns:xs="http://www.w3.org/2001/XMLSchema" xmlns:tns="http://webservice.test/" elementFormDefault="unqualified" targetNamespace="http://webservice.test/" version="1.0">
      <xs:element name="nextRandom" type="tns:nextRandom"/>
      <xs:element name="nextRandomResponse" type="tns:nextRandomResponse"/>
      <xs:complexType name="nextRandom">
        <xs:sequence/>
      </xs:complexType>
      <xs:complexType name="nextRandomResponse">
        <xs:sequence>
          <xs:element name="return" type="xs:long"/>
        </xs:sequence>
      </xs:complexType>
    </xs:schema>
      </wsdl:types>
      <wsdl:message name="nextRandom">
        <wsdl:part element="tns:nextRandom" name="parameters">
        </wsdl:part>
      </wsdl:message>
      <wsdl:message name="nextRandomResponse">
        <wsdl:part element="tns:nextRandomResponse" name="parameters">
        </wsdl:part>
      </wsdl:message>
      <wsdl:portType name="RandomTest">
        <wsdl:operation name="nextRandom">
          <wsdl:input message="tns:nextRandom" name="nextRandom" wsam:Action="http://webservice.test/RandomTest/nextRandomRequest" wsaw:Action="http://webservice.test/RandomTest/nextRandomRequest">
        </wsdl:input>
          <wsdl:output message="tns:nextRandomResponse" name="nextRandomResponse" wsam:Action="http://webservice.test/RandomTest/nextRandomResponse" wsaw:Action="http://webservice.test/RandomTest/nextRandomResponse">
        </wsdl:output>
        </wsdl:operation>
      </wsdl:portType>
      <wsdl:binding name="RandomTestServiceSoapBinding" type="tns:RandomTest">
        <soap:binding style="document" transport="http://schemas.xmlsoap.org/soap/http"/>
        <wsaw:UsingAddressing wsdl:required="true"/>
        <wsp:PolicyReference URI="#RandomTestServiceSoapBinding_WSAM_Addressing_Policy"/>
        <wsdl:operation name="nextRandom">
          <soap:operation soapAction="" style="document"/>
          <wsdl:input name="nextRandom">
            <soap:body use="literal"/>
          </wsdl:input>
          <wsdl:output name="nextRandomResponse">
            <soap:body use="literal"/>
          </wsdl:output>
        </wsdl:operation>
      </wsdl:binding>
      <wsdl:service name="RandomTestService">
        <wsdl:port binding="tns:RandomTestServiceSoapBinding" name="RandomTestPort">
          <soap:address location="http://localhost:8080/servertest/RandomTest"/>
        </wsdl:port>
      </wsdl:service>
        <wsp:Policy xmlns:wsu="http://docs.oasis-open.org/wss/2004/01/oasis-200401-wss-wssecurity-utility-1.0.xsd" wsu:Id="RandomTestServiceSoapBinding_WSAM_Addressing_Policy"><wsam:Addressing><wsp:Policy/></wsam:Addressing></wsp:Policy>
    </wsdl:definitions>
    3) ant build.xml to generate the client code from WSDL
    <?xml version="1.0" encoding="UTF-8"?>
    <project default="build" basedir="..">
        <property name="jaxws.classpath" location="C://jaxws-2.2.8/jaxws-ri/lib/*.jar"/>
        <taskdef name="wsimport" classname="com.sun.tools.ws.ant.WsImport">
               <classpath path="${jaxws.classpath}"/>
        </taskdef>
        <target name="build" >
            <!-- For these to work, the JAR files in tools/jaxws-ri must be included in Ant's classpath -->
            <wsimport wsdl="http://localhost:8080/servertest/RandomTest?wsdl"
                   verbose="true"
                   sourcedestdir="src"
                   destdir="bin"
                   keep="true">
                   <xjcarg value="-enableIntrospection"/>
            </wsimport>
        </target>
    </project>
    4) Client code
    4a) ClientTest.java - Actual client run from client
    package test.wsclient;
    import java.util.ArrayList;
    import java.util.List;
    import javax.xml.ws.BindingProvider;
    import javax.xml.ws.Endpoint;
    import javax.xml.ws.handler.Handler;
    import javax.xml.ws.soap.AddressingFeature;
    import org.nowhere.services.RandomTest;
    import org.nowhere.services.RandomTestService;
    public class ClientTest {
        public static void main(String args[]) throws Exception {
            ClientTest app = new ClientTest();
            app.testAddressing();
        public void testAddressing() throws Exception {
            String REPLY_TO_ADDRESS = "http://localhost:8082/servertest/RandomCallback";
            String FAULT_TO_ADDRESS = "http://localhost:8082/servertest/RandomCallbackFault";
            RandomTestService service = new RandomTestService();
            RandomTest port = service.getRandomTestPort(new AddressingFeature());
            BindingProvider provider = (BindingProvider) port;
            // pass the replyTo address to the handler
            provider.getRequestContext().put("ReplyTo", REPLY_TO_ADDRESS);
            provider.getRequestContext().put("FaultTo", FAULT_TO_ADDRESS);
            // Register handlers to set the ReplyTo and FaultTo on the SOAP request sent to the WS endpoint
            List<Handler> handlerChain = new ArrayList<Handler>();
            handlerChain.add(new ClientHandler());
            provider.getBinding().setHandlerChain(handlerChain);
            // Start endpoint to receive callbacks from WS
            Endpoint endpoint = Endpoint.publish(REPLY_TO_ADDRESS, new CallbackSEI());
            try {
                port.nextRandom(false);
            } catch( Exception ex ) {
                ex.printStackTrace();
            } finally {
                Thread.sleep(10000);
            endpoint.stop();
            System.exit(0);
    4b) ClientHandler.java - Used to set the wsa ReplyTo address and FaultTo address when sending SOAP request from client to server
    package test.wsclient;
    import java.util.Set;
    import javax.xml.namespace.QName;
    import javax.xml.soap.SOAPEnvelope;
    import javax.xml.soap.SOAPHeader;
    import javax.xml.ws.handler.MessageContext;
    import javax.xml.ws.handler.MessageContext.Scope;
    import javax.xml.ws.handler.soap.SOAPHandler;
    import javax.xml.ws.handler.soap.SOAPMessageContext;
    import org.w3c.dom.Node;
    import org.w3c.dom.NodeList;
    public class ClientHandler implements SOAPHandler<SOAPMessageContext> {
        public ClientHandler() {};
        @Override
        public Set<QName> getHeaders() {
            return null;
        @Override
        public void close(MessageContext arg0) {
        @Override
        public boolean handleFault(SOAPMessageContext context) {
            return true;
        protected void setAnAddress(SOAPHeader header, String tagName, String address) {
            NodeList nodeListReplyTo = header.getElementsByTagName(tagName);
            NodeList nodeListAddress = nodeListReplyTo.item(0).getChildNodes();
            for (int i = 0; i < nodeListAddress.getLength(); i++) {
                Node node = nodeListAddress.item(i);
                if ("Address".equals(node.getLocalName())) {
                    node.setTextContent(address);
                    break;
        protected String getMessageID(SOAPHeader header) {
            NodeList nodeListMessageId = header.getElementsByTagName("MessageID");
            return nodeListMessageId.item(0).getTextContent();
        @Override
        public boolean handleMessage(SOAPMessageContext context) {
            Boolean isOutbound = (Boolean) context.get(SOAPMessageContext.MESSAGE_OUTBOUND_PROPERTY);
            if (isOutbound) {
                try {
                    SOAPEnvelope envelope = context.getMessage().getSOAPPart().getEnvelope();
                    SOAPHeader header = envelope.getHeader();
                    /* extract the generated MessageID */
                    String messageID = getMessageID(header);
                    context.put("MessageID", messageID);
                    context.setScope("MessageID", Scope.APPLICATION);
                    /* change ReplyTo address */
                    setAnAddress(header, "ReplyTo", (String) context.get("ReplyTo"));
                    setAnAddress(header, "FaultTo", (String) context.get("FaultTo"));
                } catch (Exception ex) {
                    throw new RuntimeException(ex);
            return true;
    4c) CallbackSEI.java - endpoint on the client for server to send the SOAP response back to the client
    package test.wsclient;
    import javax.annotation.Resource;
    import javax.jws.Oneway;
    import javax.jws.WebParam;
    import javax.jws.WebService;
    import javax.xml.ws.Action;
    import javax.xml.ws.RequestWrapper;
    import javax.xml.ws.WebServiceContext;
    import javax.xml.ws.soap.Addressing;
    @WebService
    @Addressing
    //@HandlerChain(file = "/handler-chain.xml")
    public class CallbackSEI {
        @Resource
        private WebServiceContext context;
         * If there is no namespace specified in the method below, then the CallbackSEI needs to be in the same package as the
         * WS endpoint.
        @Oneway
        @Action(input="http://services.nowhere.org/RandomTest/nextRandomResponse")
        @RequestWrapper(localName="nextRandomResponse", targetNamespace="http://services.nowhere.org/")
        public void handleNotification(@WebParam(name="return")long random) {
            System.out.println("Asynch response received");
            System.out.println( random );
            //System.out.println("This response relates to the message ID: "+ getMessageID());
    In summary:
    Server is listening on port 8080
    Client will listen in port 8082 for the callback from the server for the SOAP response
    Now when I run the client, I see that the proper behaviour as far as ws-addressing is concerned. That is:
    client  -- SOAP request ( on port 8080 ) --> server
    client <-- HTTP 202 ( empty HTTP body )  --- server
    client <-- SOAP response ( on port 8082 )  --- server
    All well and good, except that I am getting a NullPointerException on the client side when I call the operation.
    With debugging of the SOAP request and responses, I get the following output:
    ---[HTTP request - http://localhost:8080/servertest/RandomTest]---
    Accept: text/xml, multipart/related
    Content-Type: text/xml; charset=utf-8
    SOAPAction: "http://services.nowhere.org/RandomTest/nextRandomRequest"
    User-Agent: JAX-WS RI 2.2.8 svn-revision#13980
    <?xml version='1.0' encoding='UTF-8'?><S:Envelope xmlns:S="http://schemas.xmlsoap.org/soap/envelope/" xmlns:SOAP-ENV="http://schemas.xmlsoap.org/soap/envelope/"><S:Header><To xmlns="http://www.w3.org/2005/08/addressing">http://localhost:8080/servertest/RandomTest</To><Action xmlns="http://www.w3.org/2005/08/addressing">http://services.nowhere.org/RandomTest/nextRandomRequest</Action><ReplyTo xmlns="http://www.w3.org/2005/08/addressing">
        <Address>http://localhost:8082/servertest/RandomCallback</Address>
    </ReplyTo><FaultTo xmlns="http://www.w3.org/2005/08/addressing">
        <Address>http://localhost:8082/servertest/RandomCallbackFault</Address>
    </FaultTo><MessageID xmlns="http://www.w3.org/2005/08/addressing">uuid:bcd2f6ef-3034-49e8-b837-dbd6a772fb93</MessageID></S:Header><S:Body><ns2:nextRandom xmlns:ns2="http://services.nowhere.org/"><arg0>false</arg0></ns2:nextRandom></S:Body></S:Envelope>--------------------
    ---[HTTP response - http://localhost:8080/servertest/RandomTest - 202]---
    null: HTTP/1.1 202 Accepted
    Content-Length: 0
    Content-Type: text/xml;charset=UTF-8
    Date: Fri, 18 Jul 2014 08:34:36 GMT
    Server: Apache-Coyote/1.1
    java.lang.NullPointerException
        at com.sun.proxy.$Proxy38.nextRandom(Unknown Source)
        at test.wsclient.ClientTest.testAddressing(ClientTest.java:43)
        at test.wsclient.ClientTest.main(ClientTest.java:18)
    ---[HTTP request]---
    Cache-control: no-cache
    Host: localhost:8082
    Content-type: text/xml; charset=UTF-8
    Content-length: 704
    Connection: keep-alive
    Pragma: no-cache
    User-agent: Apache CXF 2.7.7.redhat-1
    Accept: */*
    <soap:Envelope xmlns:soap="http://schemas.xmlsoap.org/soap/envelope/"><soap:Header><Action xmlns="http://www.w3.org/2005/08/addressing">http://services.nowhere.org/RandomTest/nextRandomResponse</Action><MessageID xmlns="http://www.w3.org/2005/08/addressing">urn:uuid:65d8d7fc-09e4-494a-a9c5-0a01faf4d7e6</MessageID><To xmlns="http://www.w3.org/2005/08/addressing">http://localhost:8082/servertest/RandomCallback</To><RelatesTo xmlns="http://www.w3.org/2005/08/addressing">uuid:bcd2f6ef-3034-49e8-b837-dbd6a772fb93</RelatesTo></soap:Header><soap:Body><ns2:nextRandomResponse xmlns:ns2="http://services.nowhere.org/"><return>2870062781194370669</return></ns2:nextRandomResponse></soap:Body></soap:Envelope>--------------------
    Asynch response received
    2870062781194370669
    As you can see from the output above, the proxy is throwing an Exception when it receives the HTTP 202 response.
    Any ideas ?

    I think I have found when I get this error and probably I have found a bug. I will appreciate if someone can confirm this.
    In my BPEL project setup, my BPEL process's wsdl file imports another wsdl from different namespace. Here is sample snippet -
    <wsdl:definitions targetNamespace="http://namespace/1">
    <wsdl:import namespace="http://namespace/2" location="resources/another.wsdl"/>
    <plnk:partnerLinkType....../>
    </wsdl:definitions>
    Please let me know. I checked the bundled samples with Oracle BPEL PM and did not find any similar case where process wsdl imports another wsdl.
    Thank you.
    Meghana

  • Cannot use sqlplus variable in create sequence statement

    Hello!
    I would like to create a sequence object starting with a number retrieved from a select statement:
    var max_resp_no number;
    begin
    select max(substr(resp_no,2)) into :max_resp_no from brc_mast
    where substr(resp_no,1,1)='Z';
    end;
    print max_resp_no;
    drop sequence p659_resp;
    create sequence p659_resp start with :max_resp_no;
    It tells me that :max_resp_no is an 'invalid number';
    TIA,
    habeeb

    You need to do this entirely in PL/SQL. You can either create a procedure, or use an anonymous block. The procedure version is below. Just change the CREATE to a DECLARE to get an anonymous block.
    CREATE OR REPLACE PROCEDURE new_seq IS
    max_resp_no NUMBER;
    BEGIN
    SELECT to_number(MAX(SUBSTR(resp_no,2)))
    INTO max_resp_no
    FROM brc_mast
    WHERE SUBSTR(resp_no,1,1)='Z';
    EXECUTE IMMEDIATE 'DROP SEQUENCE p659_resp';
    EXECUTE IMMEDIATE 'CREATE SEQUENCE p659_resp START WITH '|| max_resp_no;
    END;
    SQL> CREATE SEQUENCE p659_resp START WITH 1;
    Sequence created.
    SQL> SELECT p659_resp.nextval from dual;
       NEXTVAL
             1
    SQL> SELECT * FROM brc_mast;
    RESP_
    Z001
    Z002
    Z003
    Z075
    SQL> exec new_seq;
    PL/SQL procedure successfully completed.
    SQL> select p659_resp.nextval from dual;
       NEXTVAL
            75Note that the user creating this procedure will need to have CREATE SEQUENCE granted explicitly for the procedure to work. The anonymous block version should work if CREATE SEQUENCE is granted through a role.
    John

  • Crash While Working With an Image Sequence

    So I am working with an image sequence in my timeline and every time I touch that clip (either to render it or move it) Premiere crashes.
    I am working on an iMac with 32 GB of Ram with OS X 10.10.3 installed. I recently upgraded from Premiere Pro CS6 to CC and since
    then I am unable to work with it.
    Here is the error log I keep getting:
    Process:               Adobe Premiere Pro CC 2014 [839]
    Path:                  /Applications/Adobe Premiere Pro CC 2014/Adobe Premiere Pro CC 2014.app/Contents/MacOS/Adobe Premiere Pro CC 2014
    Identifier:            com.adobe.AdobePremierePro
    Version:               8.2.0 (8.2.0)
    Code Type:             X86-64 (Native)
    Parent Process:        ??? [1]
    Responsible:           Adobe Premiere Pro CC 2014 [839]
    User ID:               501
    Date/Time:             2015-04-18 16:36:11.311 -0700
    OS Version:            Mac OS X 10.10.3 (14D136)
    Report Version:        11
    Anonymous UUID:        06821F38-EC27-D4F0-775A-7CB2D2257EE6
    Time Awake Since Boot: 3900 seconds
    Crashed Thread:        24  Dispatch queue: opencl_runtime
    Exception Type:        EXC_BAD_ACCESS (SIGSEGV)
    Exception Codes:       KERN_INVALID_ADDRESS at 0x0000000000000008

    It seems to happen when I am not using any tools. When I have a photo open for any purpose.
    It occurs with no input.  I fix it by zooming back to where I was.
    I am not working with a tablet.  I am not working with a mouse.
    I will need to look up these preferences. I did not know they existed.
    Thanks.
    Duane

  • PL anonymous block does everything, but then gets stuck

    We have a PL/SQL block that loops through a cursor, and inserts data into another table. We're having a strange issue with it... Every single line of code within it appears to function correctly... It does everything we want it to. The last line on it calls a simple function that writes a record to a table we made, to indicate it has completed. It does that, and we can see the record is inserted successfully.
    The problem: even though we can see it did everything, it gets "stuck". If we run it as a anonymous block, the sql plus session will just stay stuck. Same thing if we turn it into a procedure and invoke it. It just stays stuck. Viewing it from OEM... We can see the session as "active", but it doesn't show that it's currently executing anything.
    How can we go about figuring out what the problem is?
    We've tried it on four databases... All windows platforms.. Three were oracle 10g, one was Oracle 11g. One more interesting note: one of the 10g ones... the problem does not occur... the script finishes fine. But, we don't know what is different about this database from the others.
    We also notice the problem doesn't happen if we limit the amount of records... the initial cursor we process, if we limit it using "rownum < 100" or something like that, it will also always finish fine.
    This is the script:
    set echo on
    set serveroutput on
    declare
    n_notes_count number;
    n_records_read number;
    dt_today date;
    MYDATE DATE;
    dt_comment_date date;
    dt_updated_date date;
    t_conv_exceptions "ODB"."CONVERSION_EXCEPTIONS" %ROWTYPE;
    t_conv_exceptions_default "ODB"."CONVERSION_EXCEPTIONS" %ROWTYPE;
    t_note_pad "ODB"."NOTE_PAD"%ROWTYPE;
    t_note_pad_clear "ODB"."NOTE_PAD"%ROWTYPE;
    t_pfcomm "PMI"."PFCOMM"%ROWTYPE;
    c_created_by "ODB"."NOTE_PAD"."CREATED_BY" % type ;
    -- Exceptions to be raised
    ex_notes_number_blank EXCEPTION;
    --ex_category_not_exists EXCEPTION;
    --....more to come.....
    CURSOR cur_notes IS
    SELECT PF."MMNUM",
    PF."MMLIN",
    PF."MMDES",
    PF."MMUSR",
    PF."MMMM",
    PF."MMDD",
    PF."MMYY",
    PF."MMCC",
    --"pfcomm"."mmchr",
    --"pfcomm"."mncmn",
    --"pfcomm"."mmtype",
    PF."MMUSRU",
    PF."MMMMU",
    PF."MMDDU",
    PF."MMYYU",
    PF."MMCCU"
    FROM PMI."PFCOMM" PF
    WHERE TRIM(PF."MMDES") IS NOT NULL
    ORDER BY PF."MMNUM", PF."MMLIN";
    BEGIN
    -- Initialize variables
    dt_today := "ODB"."PKG_APPLICATION_FUNCTION"."CURRENTDATETIME";
    c_created_by :='PFCOMM';
    -- Setup defaults for exceptions table
    t_conv_exceptions_default."SCRIPT" := 'atlas_notes';
    t_conv_exceptions_default."EXECUTION_DATE" := dt_Today;
    t_conv_exceptions_default."CREATED_BY" := c_created_by;
    -- Set up header record for exception
    t_conv_exceptions := t_conv_exceptions_default;
    t_conv_exceptions.column_01 := 'NOTES';
    t_conv_exceptions.header := 'Y';
    ODB.PKG_CONVERSIONS_EXCEPTIONS.writeException(t_conv_exceptions, false);
    -- Count records in table
    SELECT COUNT(*)
    INTO n_records_read
    FROM PMI."PFCOMM";
    -- Delete previously inserted records
    DELETE FROM "ODB"."NOTE_PAD" NP
    WHERE NP."CREATED_BY" = c_created_by or NP."MODIFIED_BY" = c_created_by;
    DELETE FROM "ODB"."NOTE_PAD" NP
    WHERE NP."CREATED_BY" = 'PFCOMM2' or NP."MODIFIED_BY" = 'PFCOMM2';
    DELETE FROM "ODB"."CONVERSION_EXCEPTIONS" CV
    WHERE CV."CREATED_BY" IN (c_created_by,'PFCOMM2');
    COMMIT;
    /* Do Fetch here */
    OPEN cur_notes;
    LOOP
    FETCH cur_notes
    INTO t_pfcomm."MMNUM",
    t_pfcomm."MMLIN",
    t_pfcomm."MMDES",
    t_pfcomm."MMUSR",
    t_pfcomm."MMMM",
    t_pfcomm."MMDD",
    t_pfcomm."MMYY",
    t_pfcomm."MMCC",
    --"t_pfcommcomm"."mmchr",
    --"t_pfcommcomm"."mncmn",
    --"t_pfcommcomm"."mmtype",
    t_pfcomm."MMUSRU",
    t_pfcomm."MMMMU",
    t_pfcomm."MMDDU",
    t_pfcomm."MMYYU",
    t_pfcomm."MMCCU";
    EXIT
    WHEN cur_notes % NOTFOUND;
    -- Clear Variables
    t_note_pad := t_note_pad_clear;
    dt_comment_date := null;
    dt_updated_date := null;
    -- Begin variable assignments
    t_conv_exceptions."COLUMN_01" := t_note_pad."NOTES";
    t_note_pad."NOTES" := t_pfcomm."MMNUM";
    t_note_pad."NOTES_TEXT" := t_pfcomm."MMDES";
    -- Validate required fields
    If t_note_pad."NOTES" is null Then
    raise ex_notes_number_blank;
    End if;
    -- Sequence lines correctly
    SELECT NVL( MAX("ODB"."NOTE_PAD"."NOTES_LINE"), 0)
    INTO t_note_pad."NOTES_LINE"
    FROM "ODB"."NOTE_PAD"
    WHERE "ODB"."NOTE_PAD"."NOTES" = t_note_pad."NOTES"
    -- Next note line number to insert
    If t_note_pad."NOTES_LINE" is null Then
    t_note_pad."NOTES_LINE" := 1;
    Else
    t_note_pad."NOTES_LINE" := t_note_pad."NOTES_LINE" + 1;
    End if;
    -- MMDDCCYY - Comment Date
    If t_pfcomm."MMMM" > 0 Or t_pfcomm."MMDD" > 0 Or t_pfcomm."MMCC" > 0 Or t_pfcomm."MMYY" > 0 Then
    SELECT TO_DATE(LPAD(t_pfcomm."MMMM",2,'0') || LPAD(t_pfcomm."MMDD",2,'0') || LPAD(t_pfcomm."MMCC",2,'0')|| LPAD( t_pfcomm."MMYY",2,'0'),'MMDDYYYY') INTO dt_comment_date FROM DUAL;
    End if;
    -- MMDDCCYY - Updated Date
    If t_pfcomm."MMMMU" > 0 Or t_pfcomm."MMDDU" > 0 Or t_pfcomm."MMCCU" > 0 Or t_pfcomm."MMYYU" > 0 Then
    SELECT TO_DATE(LPAD(t_pfcomm."MMMMU",2,'0') || LPAD(t_pfcomm."MMDDU",2,'0') || LPAD(t_pfcomm."MMCCU",2,'0')|| LPAD( t_pfcomm."MMYYU",2,'0'),'MMDDYYYY') INTO dt_updated_date FROM DUAL;
    End if;
    -- Updated user information
    IF dt_updated_date is not null Then
         t_note_pad."CREATED_BY" := TRIM(t_pfcomm."MMUSRU");
         t_note_pad."CREATED_DATE" := dt_updated_date;
    Elsif dt_comment_date is not null Then
         t_note_pad."CREATED_BY" := TRIM(t_pfcomm."MMUSR");
         t_note_pad."CREATED_DATE" := dt_comment_date;
    Else
         t_note_pad."CREATED_BY" := c_created_by;
         t_note_pad."CREATED_DATE" := dt_today;
    END IF;
    /* Validate mandatory fields of TRAX table */
    IF t_note_pad.NOTES = 0 THEN
    t_note_pad.NOTES :=null;
    End If;
    IF t_note_pad.NOTES_LINE is null THEN
    t_note_pad.NOTES_LINE := 1;
    End If;
    IF TRIM(t_note_pad.PRINT_NOTES) is null Then
    t_note_pad.PRINT_NOTES := 'YES';
    END IF;
    IF TRIM(t_note_pad.NOTES_CATEGORY) is null THEN
    t_note_pad.NOTES_CATEGORY := 'NORMAL';
    END IF;
    If TRIM(t_note_pad."CREATED_BY") is null Then
    t_note_pad."CREATED_BY" := c_created_by;
    End if;
    If TRIM(t_note_pad."MODIFIED_BY") is null Then
    t_note_pad."MODIFIED_BY" := c_created_by;
    End if;
    If TRIM(t_note_pad."MODIFIED_DATE") is null Then
    t_note_pad."MODIFIED_DATE" := dt_today;
    End if;
    If TRIM(t_note_pad."CREATED_DATE") is null Then
    t_note_pad."CREATED_DATE" := dt_today;
    End if;
    -- end structure validation
    /* Do Insert here here */
    insert into "ODB"."NOTE_PAD"
    values t_note_pad;
    commit;
    END LOOP;
    dbms_output.put_line('After loop.');
    CLOSE cur_notes;
    -- Update NOTES Switch
    SELECT MAX(NT."NOTES") + 100
    INTO n_notes_count
    FROM "ODB"."NOTE_PAD" NT
    UPDATE "ODB"."SYSTEM_TRAN_CONFIG"
    SET "CONFIG_NUMBER" = n_notes_count,
    "MODIFIED_BY" = 'TRAXCNV',
    "MODIFIED_DATE" = dt_today
    WHERE ( ODB."SYSTEM_TRAN_CONFIG"."SYSTEM_TRANSACTION" = 'CONFIGURATION' ) AND
    ( ODB."SYSTEM_TRAN_CONFIG"."SYSTEM_CODE" ='NOTES' )
    COMMIT;
    dbms_output.put_line('before audit.');
    /* Do Save Audit record */
    "ODB"."PKG_CONVERSIONS_EXCEPTIONS".writeAudit(t_conv_exceptions."SCRIPT",c_created_by,n_records_read,dt_today);
    dbms_output.put_line('After audit.');
    SELECT SYSDATE INTO MYDATE FROM DUAL;
    -- Begin exception handling.
    exception
    when ex_notes_number_blank then
    t_conv_exceptions.exception_description := 'Note number is blank.';
    ODB.PKG_CONVERSIONS_EXCEPTIONS.writeException(t_conv_exceptions, false);
    when others then
    t_conv_exceptions.exception_description := substr(SQLERRM,1,1000);
    ODB.PKG_CONVERSIONS_EXCEPTIONS.writeException(t_conv_exceptions, false);
    RAISE;
    -- End exception handling.
    dbms_output.put_line('before end.');
    END ;
    /

    Avoid row-at-a-time processing if at all possible (cursor for loops, periodic commits, etc).
    Try adding some calls to dbms_application_info.set_module (or set_action) in order to find out where your code is.

Maybe you are looking for

  • Reducing the Text height in Adobe Forms

    I have a text field which I have included for the long text of me22n transaction in the context node of Adobe forms. In the form I want to adjust the maximum height of the text field using java script or any other way, as in I do not want to print th

  • Slow motion woes

    I am trying to slow down a clip of DVCProHD 25p footage to run at 50%. I have used the timing tab and set it to 'adaptive' with 'best' motion and, as there is no camera movement, set the flow smoothness to 0. Once rendered there is a bizarre warping

  • DVD Quality - LCD vs. PLASMA

    How come DVD quality is different on a LCD than on a Plasma. I tested my DVD that I made using iDVD6, and on a LCD the quality is acceptable. Then when I play the DVD on a Plasma display the images appear very bright...almost unrecognizable. Please h

  • New to SAP technical and Functional

    Hi I have 6+ years of experience on java. I completly new to SAP now i am assigned to SAP CRM. How is the future of SAP CRM. If i go SAP CRM technical wether it is good for my future or not. If yes please provide me the links to start on that and how

  • Migration strategy

    Hi Experts This is what I am trying to do.. I have a dev environment 1 master rep 1 work rep 1 Test environment 1 master rep 1 workrep Dev and test are 2 different installations. I mean master and work reps are differenct from each other I created al