BW Xclesius Input n Output values

Hi Experts,
I'm trying to create a dashboard from Bex query and I created a connection and able to see input ,output fields.
How can get the BW data to Xcelsius spreadsheet.
Please guide me how to do the mapping for Bex data to Xcelsiu

Hi Jack,
    In my Bex query there are no filters but still filters are show in my xcelsius(Input values).Can I know the reason behind that
   Input values and Output Values are the default structure of the Bi netweaver connection. If you have filter in your qiery then you can bind spreadsheet cell else juct leave it.
Does it will hold the BW output and we need gather that output into a range and than display the way we want?
Yes, once you bond the spreadsheet cells to the Cross - Tab, then data will render the cells. After that we can use any type of components (Charts, Combo Box, etc..) to display the data in your dashboard.
The cross tab structure will be the same as we defined during the BI DSO design (Transformation).
Below fields of the Cross - Tab are addtion fields which is give you the addtional info of the query like query Technical name, Description etc..
Regards,
SenthilK

Similar Messages

  • Difference between input and output values

    I am using NI DAQ 6024E and SCB 68.
    I have shorted one anlog output and input channel
    in the SCB 68 board itself.(differential connection). that is whatever ouput voltage
    i am giving at o/p channel 22 and 55 should come at i/p
    channel 68 and 34. But when i read the values in my VI,
    the input voltage is higher than o/p. why is the difference.
    I used default buffer size 1000 and AI Single scan and AO Single Update.

    There doesn't seem to be a problem with your pin connections.
    The SCB-68 has a temperature sensor that can be used as cold-junction compensation for thermocouple measurements. If the temperature sensor is enabled, it will use channel 0 of the DAQ device.
    Since you are connecting to channel 0 of the 6024E, I would make sure you have the temperature sensor of the SCB-68 disabled. You can disable the temperature sensor by using the switched of the SCB-68. The User Manual of the SCB-68 is linked below and provides the information on how to disable the temperature sensor (page 2-4).
    SCB-68 68-Pin Shielded Connector Block User Manual
    http://digital.ni.com/manuals.nsf/webAdvsearch/74C86ADEF0E4813F86256C84007CB3AB?OpenDocument&vid=niwc&node=132100_US
    I hope t
    his helps.
    Regards,
    Todd D.

  • SSIS: How to use one Variable as Input and Output Parameter in an Execute SQL Task

    Hello,
    i need your help,I'm working on this issue since yesterday and have no idea how to deal with it.
    As I already said in the tilte i want to start a stored procedure via a Execute SQL Task which has around 15 prameters. 10 of these should be used as input AND output value.
    As an example:
    i have three  Variable:
    var1    int        2
    var2    int     100
    var3    int     200
    the stroed procedure:
       sp_test
          @var1 int
          @var2 int output
          @var3 int output
       AS
       BEGIN
            SET @var2 = @var2 * @var1
            SET @var3 = @var3 + @var1
       END
    So in the Execute SQL Task i call the Stored Procedure as follwos:
        Exec sp_test  @var1 = ?, @var2 = ? output, @var3 = ? output
    (I use an OLE DB Connection)
    The parameter mapping is as follows:
    User::Var1        input                   numeric              0                 -1
    User::Var2        input/output         numeric              1                 -1
    User::Var3        input/output         numeric              2                 -1
    Now my problem. If i set  Var2 and Var3 as Input parameter the values are still the same after running the package. If i set them to a output value the are both Null because the procedure doesnt get any values.
    I already tried to list them a second time - like
        User::Var2        input                  numeric              1                 -1
        User::Var2        output                 numeric              1                 -1
    or i use a new variable
        User::Var2                  input                  numeric              1                 -1
        User::Var2Return        output                 numeric              1                 -1
    but i alwas get the error
    "Multiple-step OLE DB operation generated errors. Check each OLE DB status value, if available. No work was done."
    Has anybody an idea how I can solve this problem?
    Thanks a lot.
    Kind Regards,
    Alice

    Hi Alain,
    thx for your answer.
    I have around 15 procedures called one after the other to calculated and modify my values. Each procedure is responsible for an other but overlapping set of variables. So i thought it would be a good idea to call them one after the other with the needed variables via a execute sql task.
    So if i use a result set, how i get my stored procedure to return 10 values? I would have to use a Function instead of a procedure, wouldn't i?
    As if i have 15 procedures this would be a lot of work.
    But thanks a lot for the idea. I think an other idea would be to create one function which calls all stored procedures and returns all the calculated values as a result set, wouldn't it?.
    Kind Regards.
    Alice

  • How to include input user parameter values in XML report output?

    How can i include input user parameter values in XML report output. I a have a report which can be run by providing start date and end date. I would like to include value of these parameters in XML output to enable me to figure out dates for report, just by looking at XML output.
    Rgds,
    manish

    I think all the XML attributes can contain lexicals. If you bring up the property palette against the report object you can just set the following:
    XML Tag Attributes: myParameter="&<P_1>"
    where P_1 is your user parameter.

  • Need help with control system that reduces a flat output signal every time a certain input exceeds given value

    I'm having difficulty setting up a closed loop control system that reduces one of my voltage outputs (connected to a high voltage system) by 30% every time a measured voltage exceeds a certain threshold value.  I'm using a USB 6229 DAQ.  I've been trying to create a waveform that looks like a DC signal, but the only waveforms that I can seem to manipulate while my VI is running are the stock waveform types.  Also, I've tried to use a formula node or conditional structure to update the output value every time the measured voltage exceeds a given value, but everything I do reinitializes the output value every time it runs or won't store the previous signal value.  I'm using LabVIEW 8.6 and don't have the PID or similar express VI's.  I've attached the mess I've got working right now.  Can anyone help?  I'm really stuck!
    Thanks! 
    Attachments:
    HiV step down.vi ‏40 KB
    output control.vi ‏100 KB

    I'm sorry it's such a mess; I'm still pretty new at this.  These are both little driver programs for a larger overall control program. 
    Output control is meant to send a flat signal to the DAQ whose value can be manipulated while the VI is running.  I have the second activated segment merely to check the values being output.  I didn't realize I attached a version with a meaningless control...I had a control where the user would put in the stating voltage (the high voltage source has a 1V-100V setting for external control).  I've attached this slightly different but equally dysfunctional version.  Ideally, I would have liked something like the analog signal generator vi to come with an input wiring for offset on the DC signal.  Most of the code was diabled because it is copied from an example; it is largely rubbish.  I initially used the DAQ Assistant, but when things weren't working out I switched to putting in each step manually to try to troubleshoot.
    As far as HiV step down is concerned, I've tried something different with a nested case structure (if that's the right terminology?), and I've attached that file.  I think this problem has been solved, but you never know!
    Attachments:
    output control slightly different.vi ‏100 KB
    HiV step down w case structures.vi ‏44 KB

  • Difference in analog input and analog output values

    i am using 6014 DAQ card for providing motor speed reference voltage to Driver and reading back speed
    feedback voltage using LabVIEW 6.0. For this i have used AO Single Update and AI Single scan. Both are in the same while
    loop.The loop has a Wait timer of 50ms. When i take a plot of Analog o/p and input, i get a shift
    between input and output. Why is the shift or the time not synchrnised..please help..When the while loop wait timer is 200ms instead of 50ms, the shift is not there.

    I believe the problem is 2 fold. First if you are using traditional DAQ, or DAQ 6.9.3 or before, the driver is single threaded. Therefore the 2 VIs will not run simultaneous. Try DAQmx and you may be more successful.
    The other is that you are using higher level 1 point VIs. In general they are not the best to use in a time sensitive loop. You are better off using hardware timing, rather than software timing. With hardware timing you have more control over the synchronization of the 2.

  • How do I create an xControl with multiple inputs and outputs?

    Hello,
    i am trying to write a new Xcontrol Element. In the data model I can create data types using the cluster to create compound types, eg an int and an int array. But how do I create an xcontrol which has multiple data inputs and outputs?
    Kind Regards

    Limping_Twerp wrote:
    Alright: I see: An xcontrol is either an input OR an output. How do I achieve an output? Secondly: So you are saying the only Elements that can have multiple inputs and outputs are VIs?
    Can you take a few steps back and explain what you are actually trying to do. SubVIs and Xcontrols have nothing in common and it is not clear why you even try to compare them side by side (e.g. in terms of the number of connectors).
    Your questions about input or output tell us that you seems to have some misconceptions about xcontrols. Xcontrols are like regular controls, except they have some built-in intelligence that you can program. Most front panel object can be either controls or indicators and the same is true for Xcontrol. You create an Xcontrol, and after placing it on the front panel you can decide if it should be a control (where the code reads the value) or an indicator (were the code writes values to it). When you define the xcontrol facade, you also need to program how the visuals change if it is changed from control to indicator or vice versa.
    As a first step, you should opend the example finder and look at some xcontrol examples.
    Again, what are you actually trying to do? 
    LabVIEW Champion . Do more with less code and in less time .

  • How to asssign output value in the CSV file for the specific header

    Hi,
    I am using OpenScript 9.10. The problem I am facing is that, to Write the Output value captured from the application, When i am trying to use the methods "Appen String to File" i am unable to write / assign the out put value below the specific header. Can any one please look into this and post the methods related to Write the output vaues in to the CSV files under specific headers.
    Thanks in Advance.
    Thanks.,
    Siva

    Hi Alex,
    Thanks for your reply.I need to write the output value under specified parameter name.
    for example after creating the sales order,the order number have to write in the CSV file under
    OrderNumber column.I tried with appendStringtofille() method,by using this able to write the value under
    first column.But i need to write output value under specified columnname(means Header name in the cSV
    file).can u please give a reply for the above problem.
    Note: In that Csv file i am taking the input vales and also need to write the output values under
    specified column(header)
    Thanks,
    Siva Thota.

  • FINALLY INPUTTING and OUTPUTTING Annotations!

    Ok, before everyone thinks that this is a very bad solution, I have to tell that I`m no programmer and my knowledge of PostgreSQL, Ruby or any other language is very poor.
    With useful help from Jamie Hodge, fbm and Nicholas Stokes (mainly)
    I could manage to write a command for inputting and outputting from Final Cut Server Annotations.
    So lets go to the fun part:
    INPUTTING:
    1- Create a Response called "Annotations IN" (or whatever you want):
    a - Reponse Action: "Run an external script or command"
    b - Run Script > *Commnad Path: /Library/Application Support/Final Cut Server/Final Cut Server.bundle/Contents/Resources/sbin/fcsvr_run
    Command Parameters: psql px pxdb -c "COPY pxtcmdvalue FROM '/FCSRV/annotation-in.txt' USING DELIMITERS '|';"
    2 - Create a poll Watcher with name: "Watch for Annotations IN"
    a - Enable: true
    b - Monitor Address: Chooses a Device (create a new one or use a tmp one) and path to where you`ll going to put a txt file with the annotations.
    c - Response List: Choose the Response you created "Annotations IN" in my case.
    d - Event Type Filter: Created, Modified
    e - Poll Watcher > Listing Frequency: 2 (or any number of seconds you feel like it).
    Listing multiple: 2
    Wildcard include Filter: *.txt (or any custom extensions you want)
    3 - Create a txt file and use this as a template:
    {ASSET_ENTITYID}|1527|{TCIN}/(30000,1001)|{TCOUT}/(30000,1001)|{Annotation}|{USE RID}|{DATE}
    Where:
    {ASSET_ENTITYID} = Is the entityid of your asset. You can find what number it is by issuing:
    /Library/Application\ Support/Final\ Cut\ Server/Final\ Cut\ Server.bundle/Contents/Resources/sbin/fcsvr_run psql px pxdb -c "SELECT pxmdvalue.entityid, pxmdvalue.value AS asset_name FROM pxmdvalue INNER JOIN pxentity ON pxentity.entityid = pxmdvalue.entityid WHERE pxmdvalue.fieldid='1543' AND pxentity.address LIKE '/asset/%';"
    This will output ALL your assets, so if you know the name or want to parse the name you can use:
    /Library/Application\ Support/Final\ Cut\ Server/Final\ Cut\ Server.bundle/Contents/Resources/sbin/fcsvr_run psql px pxdb -c "SELECT pxmdvalue.entityid, pxmdvalue.value AS asset_name FROM pxmdvalue INNER JOIN pxentity ON pxentity.entityid = pxmdvalue.entityid WHERE pxmdvalue.fieldid='1543' AND pxmdvalue.value='ASSETNAME' AND pxentity.address LIKE '/asset/%';"
    Where in ASSETNAME you`ll have to put your Asset Name without extension.
    {TCIN} and {TCOUT} is, of course, the TC`s points. In the form of: HH:MM:SS;FF
    {Annotation} is the commentary.
    {USERID} (in my case was 1)
    {DATE}: This one is tricky. My example is 2009-03-15 19:31:15.839795-03
    So is in the form YYYY-MM-DD HH:MM:SS.????? I really don`t know the rest. Could be milliseconds?
    Of course one can write a script to translate everything from a txt file like:
    ASSETNAME | TCIN | TCOUT | ANNOTATIONS | USER
    But as I`ve said I`m no programmer
    Ok.. now the time for the OUTPUT:
    The command-line is:
    /Library/Application\ Support/Final\ Cut\ Server/Final\ Cut\ Server.bundle/Contents/Resources/sbin/fcsvr_run psql px pxdb -c "SELECT pxmdvalue.value AS Asset_NAME, pxtcmdvalue.value, pxtcmdvalue.begintc, pxtcmdvalue.endtc FROM pxmdvalue INNER JOIN pxtcmdvalue ON pxmdvalue.entityid = pxtcmdvalue.entityid WHERE pxmdvalue.value='ASSETNAME';"
    Where ASSETNAME is the Asset name without the extension.
    Or issuing the following to OUTPUT ANNOTATIONS from ALL assets:
    /Library/Application\ Support/Final\ Cut\ Server/Final\ Cut\ Server.bundle/Contents/Resources/sbin/fcsvr_run psql px pxdb -c "select * from pxtcmdvalue;"
    Adding "> /PATHTO_WHERE_IN_WANT/ANNOTATIONSOUTPUT.TXT" at the end will put all output into a txt file.
    It`s possible (in theory) to:
    1- Create a boolean md field in FCSRV called "EXPORT Annotations" (don`t choose lookup)
    2- add or create a md group called "Export Annotations" and add the above md field to it (don`t choose lookup)
    3- Add "Export Annotations" md field to Asset Filter md group
    4- Make a Response for Running external command. Command path: /Library/Application Support/Final Cut Server/Final Cut Server.bundle/Contents/Resources/sbin/fcsvr_run
    Command Parameters: psql px pxdb -c 'SELECT pxmdvalue.value AS Asset_NAME, pxtcmdvalue.value, pxtcmdvalue.begintc, pxtcmdvalue.endtc FROM pxmdvalue INNER JOIN pxtcmdvalue ON pxmdvalue.entityid = pxtcmdvalue.entityid WHERE pxmdvalue.value=[FileName];' > ~/Desktop/ann-out.txt
    (I`m having problem with this, it doesn`t work).
    5- Make a Subscription that if Export Annotations modified = true, trigger if changed and trigger the Response above.
    6- Add exporting annotations md group to Media md set.
    In theory it`s possible to modify the FinalCutServerIntegrationSample get and input annotations instead of adding another "comment" field to md group list.
    Few!
    Ok so please help beautify this out!
    This will be very useful for a lot of people.... We know that it`s only a matter of time to FCSVR have this function built-in... but this "time" could be years.
    So let`s start ourselves!
    Thank you very much!!
    Regards!

    AlphaBlue wrote:
    jverd wrote:
    What were you hoping for? Someone reading your mind for what exactly you need, and handing you a giftwrapped answer, without you doing any work or clearly communicating a specific problem? This site doesn't really work that way.Fair enough. I'm asking for insight into how to input from and output to a StarOffice Spreadsheet into/from a Java program. (Think java.io except with spreadsheet files instead of .txt files.) I already answered that question.
    I need to accomplish this without the use of a community-created library.That's a bizarre requriement. Why?
    >
    Okay, [here you go|http://lmgtfy.com/?q=communication+between+StarOffice+Spreadsheets+and+Java].
    If you don't have any knowledge or experience, on the matter, please refrain from directing me to a google search. I assure you, I have already performed such a task.How would I know that.
    But okay, let's say I know that. Let's say you bothered to point out that you've already done that. Further, let's say I do have knowledge of the subject at hand. Maybe I'm an expert. Maybe I wrote Star Office and an open source library (which wheel for some reason you must reinvent). You are assuming that I also have psychic powers, so that I can read your mind and know exactly what you've read so far and exactly what parts of your very broad and vague question said reading did not answer.
    In short, you have received answers commensurate with your questions.

  • Dynamic columns on output values for a Web Service connection

    Hello,
    I'm trying to create a web service connection that is similar in response to the XML data connection in that i don't want to fix the number of columns per row down in the WSDL. i.e. i want to create an array of columns:
      <xsd:complexType name="XLRowDef">
        <xsd:sequence>
          <xsd:element name="column" curashared:shortname="c" type="xsd:string" minOccurs="0" maxOccurs="unbounded"/>
        </xsd:sequence>
      </xsd:complexType>
    and for the user of the WSDL (the guy who will design the dashboard) to be able to fix and link the number of columns when he designs the dashboard. I was hoping the button would reveal itself in the "output values" box much like it does in the "input values" but no matter how i twist the xsd - no joy. I also tried just linking the column element to multiple excel columns but still fixes to the first column in the range. Can this result be achieved in Xcelsius short of using an XML data connection?
    Regards,
    Gilbert

    Van,
    There is a workaround for that...
    Example:
    My Webservice accepts input data range in a specific format with " :" symbol, i.e. 072008:082008
    Now what i do is
    A1 = 072008
    A2 = 082008
    A3 = CONCATENATE(A1,":",A2)
    so A3 = 072008:082008
    Now i map the input value in web service to cell A3
    P.S have 2 input box components and map it to cells A1 and A2, i.e you are giving users an  option to enter the range of values...then web service will capture the range and refreshes data with the range of values user entered.
    hope this helps..
    -Anil

  • R12 - Input and Output Tax Classification Codes in Invoices Workbench

    Hello,
    After upgrade from 11i to R12, in invoices workbench, the Tax Classification Code LoV (invoice line field) contains taxes that formerly were both input and output.
    In the E-Business Tax Implementation guide says that :
    Release 11i tax codes and tax groups migrate to E-Business Tax as tax classification
    codes. Payables and Purchasing tax codes migrate as tax classification codes under
    ZX_INPUT_CLASSIFICATIONS. Receivables and Projects tax codes migrate as tax
    classification codes under ZX_OUTPUT_CLASSIFICATIONS.
    In invoices workbench form (APXINWKB), I looked in the record group for the LoV and the values are all from the same view zx_input_classifications_v.
    SELECT lookup_code, meaning, description
      FROM zx_input_classifications_v
    WHERE lookup_type = 'ZX_INPUT_CLASSIFICATIONS'
       AND org_id IN (:inv_sum_folder.org_id, -99)
       AND enabled_flag = 'Y'
       AND nvl(:inv_sum_folder.invoice_date, sysdate)
                    between start_date_active and nvl(end_date_active, :inv_sum_folder.invoice_date)
    UNION
    SELECT lookup_code, meaning, description
      FROM zx_input_classifications_v
    WHERE lookup_type = 'ZX_WEB_EXP_TAX_CLASSIFICATIONS'
       AND org_id IN (:inv_sum_folder.org_id, -99)
       AND enabled_flag = 'Y'
       AND nvl(:inv_sum_folder.invoice_date, sysdate)
                    between start_date_active and nvl(end_date_active, :inv_sum_folder.invoice_date)
    It follows that some formerly Payables and Purchasing tax codes were migrated as 'ZX_INPUT_CLASSIFICATIONS' or 'ZX_WEB_EXP_TAX_CLASSIFICATIONS'.
    Anybody knows how is the 11i tax code data migrated to R12 ? Is this the intended behavior ?
    Thanks

    I'm not a functional expert but I would suggest reviewing the following docs and see if it helps.
    How To Update EBusiness Tax Lookup Codes? (Doc ID 466578.1)
    Payables - Invoices - Invoice Lines Tax Classification LOV duplicating entries (Doc ID 1265315.1)
    Upgraded Tax Classification Codes Not Enabled For Iexpenses (Doc ID 851607.1)
    Thanks,
    Hussein

  • Wrong input and output files path?

    When i tried to run my code i got wrong path of input and output files, why it was and where is the mistake? I did not meant the path \tmp\xorout.txt and C:\jar\org\joone\samples\engine\xor\xor.txt in the source code - where are they from? :
    * XOR.java
    * Sample class to demostrate the use of the Joone's core engine
    * see the Developer Guide for more details
    * JOONE - Java Object Oriented Neural Engine
    * http://joone.sourceforge.net
    package org.joone.samples.engine.xor;
    import java.io.File;
    import org.joone.engine.*;
    import org.joone.engine.learning.*;
    import org.joone.io.*;
    import org.joone.net.NeuralNet;
    public class XOR implements NeuralNetListener {
    /** Creates new XOR */
    public XOR() {
    * @param args the command line arguments
    public static void main() {
    XOR xor = new XOR();
    xor.Go();
    public void Go() {
    * Firts, creates the three Layers
    LinearLayer input = new LinearLayer();
    SigmoidLayer hidden = new SigmoidLayer();
    SigmoidLayer output = new SigmoidLayer();
    input.setLayerName("input");
    hidden.setLayerName("hidden");
    output.setLayerName("output");
    /* sets their dimensions */
    input.setRows(2);
    hidden.setRows(3);
    output.setRows(1);
    * Now create the two Synapses
    FullSynapse synapse_IH = new FullSynapse(); /* input -> hidden conn. */
    FullSynapse synapse_HO = new FullSynapse(); /* hidden -> output conn. */
    synapse_IH.setName("IH");
    synapse_HO.setName("HO");
    * Connect the input layer whit the hidden layer
    input.addOutputSynapse(synapse_IH);
    hidden.addInputSynapse(synapse_IH);
    * Connect the hidden layer whit the output layer
    hidden.addOutputSynapse(synapse_HO);
    output.addInputSynapse(synapse_HO);
    FileInputSynapse inputStream = new FileInputSynapse();
    /* The first two columns contain the input values */
    inputStream.setAdvancedColumnSelector("1,2");
    /* This is the file that contains the input data */
    inputStream.setInputFile(new File("c:\\xor.txt"));
    input.addInputSynapse(inputStream);
    TeachingSynapse trainer = new TeachingSynapse();
    /* Setting of the file containing the desired responses,
    provided by a FileInputSynapse */
    FileInputSynapse samples = new FileInputSynapse();
    samples.setInputFile(new File("c:\\xor.txt"));
    /* The output values are on the third column of the file */
    samples.setAdvancedColumnSelector("3");
    trainer.setDesired(samples);
    /* Creates the error output file */
    FileOutputSynapse error = new FileOutputSynapse();
    error.setFileName("c:\\xorout.txt");
    //error.setBuffered(false);
    trainer.addResultSynapse(error);
    /* Connects the Teacher to the last layer of the net */
    output.addOutputSynapse(trainer);
    NeuralNet nnet = new NeuralNet();
    nnet.addLayer(input, NeuralNet.INPUT_LAYER);
    nnet.addLayer(hidden, NeuralNet.HIDDEN_LAYER);
    nnet.addLayer(output, NeuralNet.OUTPUT_LAYER);
    nnet.setTeacher(trainer);
              FileOutputSynapse results = new FileOutputSynapse();
    results.setFileName("c:\\results.txt");
    output.addOutputSynapse(results);
    // Gets the Monitor object and set the learning parameters
    Monitor monitor = nnet.getMonitor();
    monitor.setLearningRate(0.8);
    monitor.setMomentum(0.3);
    /* The application registers itself as monitor's listener
    * so it can receive the notifications of termination from
    * the net.
    monitor.addNeuralNetListener(this);
    monitor.setTrainingPatterns(4); /* # of rows (patterns) contained in the input file */
    monitor.setTotCicles(2000); /* How many times the net must be trained on the input patterns */
    monitor.setLearning(true); /* The net must be trained */
    nnet.go(); /* The net starts the training job */
    public void netStopped(NeuralNetEvent e) {
    System.out.println("Training finished");
    public void cicleTerminated(NeuralNetEvent e) {
    public void netStarted(NeuralNetEvent e) {
    System.out.println("Training...");
    public void errorChanged(NeuralNetEvent e) {
    Monitor mon = (Monitor)e.getSource();
    /* We want print the results every 200 cycles */
    if (mon.getCurrentCicle() % 200 == 0)
    System.out.println(mon.getCurrentCicle() + " epochs remaining - RMSE = " + mon.getGlobalError());
    public void netStoppedError(NeuralNetEvent e,String error) {
    ERROR:
    C:\jar>java -cp joone-engine.jar org.joone.samples.engine.xor.XOR C:\\xor.txt C:
    \\xorout.txt
    [main] [ERROR] - org.joone.io.FileOutputSynapse - IOException in Synapse 6. Mess
    age is : \tmp\xorout.txt (The system cannot find the path specified)
    Training...
    [Thread-0] [WARN] - org.joone.io.FileInputSynapse - IOException in Synapse 3. Me
    ssage is : C:\jar\org\joone\samples\engine\xor\xor.txt (The system cannot find t
    he path specified)
    [Thread-0] [WARN] - org.joone.io.FileInputSynapse - IOException in Synapse 3. Me
    ssage is : C:\jar\org\joone\samples\engine\xor\xor.txt (The system cannot find t
    he path specified)
    java.lang.NullPointerException
    at org.joone.io.StreamInputSynapse.getStream(StreamInputSynapse.java:176
    at org.joone.io.StreamInputSynapse.readAll(StreamInputSynapse.java:288)
    at org.joone.io.StreamInputSynapse.fwdGet(StreamInputSynapse.java:106)
    at org.joone.engine.Layer.fireFwdGet(Layer.java:212)
    at org.joone.engine.Layer.fwdRun(Layer.java:1225)
    at org.joone.net.NeuralNet.stepForward(NeuralNet.java:1015)
    at org.joone.net.NeuralNet.fastRun(NeuralNet.java:970)
    at org.joone.net.NeuralNet.fastRun(NeuralNet.java:937)
    at org.joone.net.NeuralNet$1.run(NeuralNet.java:890)
    at java.lang.Thread.run(Thread.java:534)

    c:xor.txt
    c:/xor.txt
    i think c:xor stands for somthing else like a virtual drive but ima not sure

  • Analog Input and Output in One Single VI

    I need help in setting both analog input and output in one single VI. How do I assign channels to be either input or output? How do I simultaneously uses both in one single VI with a while loop structure?? Which AO am I suppose to use to obtain signal from the function generator I have built to feed into the DAQCard-1200?? Help!!
    Attachments:
    Test1.vi ‏48 KB

    One thing you'll need to be aware of is that you will need to DMA lines: one for AI and one for AO. If you don't, then you can configure the DAQCard to do without DMA using the Config VI. But you certainly can do this.
    As far as your function generator, you will want to do a buffered analog output. You will write your buffer of points to the buffer, and then tell NI-DAQ how fast to update your analog output channel with these values.
    So, you can be reading from AI and checking the AO process in the same while loop. Just make sure you handle the while loop execution (the wait it exits) correctly. This can get tricky when you're doing two types of measurements.
    J.R. Allen

  • Multiple Input Multiple Output Fuzzy Logic Controller

    I am designing an autotuning PID temperature control system whose PID gains are adjusted using a Multiple Input Multiple Output (MIMO) Fuzzy Controller. I am unsure how to set up specific inputs and how to use specific outputs when there are multiple inputs and outputs. Specifically, my Fuzzy system has two inputs and three outputs.
    For the input, I have two 1D arrays of scalars (doubles) which I have used to build an array and concatanated the inputs to the array. How do I configure which values of this array are used as each specific input value to the fuzzy system?
    Similarly, for the output, the fuzzy system gives three output values which are used as the PID gains. How do I configure these such that they are in the right order to be used as the PID Gains of the PID VI?

    Hi natsmi,
    You can find some examples by navigating to:
    C:\Program Files (x86)\National Instruments\LabVIEW XXXX\examples\control\fuzzy
    Some of them are at least MISO systems and might be helpful to clarify some ideas. You can also find them using the Example Finder from LabVIEW (By going to help on the top menu, and then Find Examples). There you can go to the search tab on the left and type in fuzzy.
    Hope this helps.
    Regards,
    AGJ

  • Analog Levels vs SPDIF Levels Input and Output in Logic Pro

    Hello,
    I ran a test last night for recording input and output levels from my Yamaha Motif XS8 through an Apogee Ensemble to compare Analog to SPDIF
    I connected two TRS cables from the L and R outputs on the Motif XS into the Analog Inputs on the Apogee and also have the SPDIF connection from the Motif to the Apogee.
    I put the master fader all the way up on the XS for the volume for analog.
    The ensemble in Maestro has a +4 and -10 reference notional level option for analog inputs. i had it at +4 but changed to -10 and the analog got louder (i figured it would get louder for +10, confusing).
    anyways, why is it that I can record louder levels for analog than the digital transfer?
    I tracked both options at the same time then recorded vocals over it. the digital sound is too low. what's up with that?
    and when I tried to bounce the recording to listen to it in ITunes, the volume levels were way lower than my cd playing through iTunes. Please enligthen me on these?
    I use to record in a Roland 2480, and had similar results with loudness, but got a little louder through mastering but still....pro cds are way louder and still clear.

    I think there is much confusion here!
    In summary, you wont be able to control the recording level of S/PDIF.
    The reason is that you don't want to!
    You need to think of the SPDIF connection as being more like a file transfer method. You are copying the digital data at an output to your harddrive in effect. If I send you an MP3 via email you'd never imagine that your email software is capable of changing the gain of the MP3 I send you. This might sound daft but its a useful analogy. If you need to increase the "volume" of that MP3 then you'd need to ask the sender. Its the same with your set-up.
    There could well be somewhere on your synth that adjusts the instruments level, other than the master (analogue) output control. For example, make sure the midi volume of the intrument being played is set to full - ie midi vol 128. Perhaps there is somesort of virtual mixer onboard to control all the muti-timbral parts so make sure your part has its virtual fader turned up.
    This is what (basically) is going on in the chain...
    Your synth creates sound in its "digital brain". This sound is sent to an "output stage" which will distribute the sound to various outputs. In the case of the S/PDIF it will just send the raw digital data untouched. For the analogue side the digital signal (same as the one sent to S/PDIF) will be converted to analogue and then sent to a amplifier to get it to an appropriate "line level". This final level could well be controlled by an anogue volume control which could be adding more gain (than you think) too.
    When things go to your sound card/ daw...
    The purpose of a analogue gain control is to set the i/p signal so that it suitably loud to beat any noise that exists in your input circuits - so that a good signal to noise ratio is achieved. Analogue signals need to work in the right loudness zone (so to speak) as the analogue electronics will be designed to handle signal levels of a particular range. the gain control is there to make sure the signal is in that range.
    Digital signals are far more predictable though and there is no advantage to your recordings if the incoming digital signal gets an increase of level at the input stage. All you are doing here is effectively adding a few zeros to the binary digital data!
    Lets face it the point of recording is to get a copy of the original sound, that is as similar to the original as possible. With S/PDIF you get a perfect copy of what's coming out of your synth - so job's a good un!
    If, when you come to mix in logic, you find the level of the digital recording is indeed too low for mixing/mastering purposes then just boost it in logic via a fader or via the gain plugin.
    Those referrence values of -10dBV and +4dBu refer to analogue voltage levels only. they have nothing to do with the digital domain. The -10/+4 switch will be only relevant to analogue inputs and outputs. Using an analogue VU meter you should find that a sine wave that peaks at 0dBVU (totally different to 0dBFS BTW) is the equivant of a digital sine wave peaking at -18dBFS.
    The analogue headroom (how loud you can go before things distort) depends on the analogue electronics and varies with different design. Analogue stuff, like mixers) often has headroom of 24dB or more. So that digital stuff can interface with analogue properly we allow for that analogue headroom to be around 18dB (usually enough in practise!)... hence -18dBFS(digital)=0dBVU(analogue).
    To make your digital and analogue input signals sound similar in level you will probably have to reduce the gain of the analogue input. If you set the incoming analogue signal to peak around -14dB (or less!) or so you will probably find things more equal. If you are working in 24 bit your analogue levels can be seemingly very low before sound quality is affected. Its quite safe to record at -20 or even -30dB as shown on logic's meters for eg.
    I hope all this waffle helps LOL!

Maybe you are looking for