Compilation Error - Operation != is illegal with Forte 6 U1

when I compiled the following source code, I get the error below. I believe i am missing something basic. I ompile on Solaris 8/Forte 6Update1.
Compilation Error message:
"tmp.C", line 73: Error: The operation "PhoneBook != PhoneBook" is illegal.
1 Error(s) detected.
//Source Code
#include <map>
#include <string>
#include <iostream>
#include <utility>
struct PhoneBook
//constructors
PhoneBook(long number, std::string address) ;
PhoneBook(const PhoneBook& rPB) ;
//assignment operator
PhoneBook& operator=(const PhoneBook& rPB) ;
//destructor
~PhoneBook() ;
//comparison operators
bool operator<(const PhoneBook& rPB) ;
bool operator==(const PhoneBook& rPB) const;
//friend function
friend std::ostream& operator<<(std::ostream& os, const PhoneBook& rPB) ;
//data
long m_Number ;
std::string m_Address ;
PhoneBook::PhoneBook(long number, std::string address)
m_Number = number ;
m_Address = address ;
PhoneBook::PhoneBook(const PhoneBook& rPB)
m_Number = rPB.m_Number ;
m_Address = rPB.m_Address ;
PhoneBook::~PhoneBook()
bool PhoneBook::operator==(const PhoneBook& rPB) const
return (m_Number == rPB.m_Number) ;
PhoneBook& PhoneBook::operator=(const PhoneBook& rPB)
m_Number = rPB.m_Number ;
m_Address = rPB.m_Address ;
return *this ;
bool PhoneBook::operator<(const PhoneBook& rPB)
return (m_Number < rPB.m_Number) ;
using namespace std;
using namespace std::rel_ops;
int main()
     PhoneBook p1(1234, "foobar");
     PhoneBook p2(2341, "oobarf");
if (p2 != p1)
          cout<<"Not equal" <<endl;
} else {
          cout<<"Not equal" <<endl;
     return 0;
//Source Code
thanks
easwar

This has been fixed in Sun One Studio 8, Compiler Collection.
- Rose

Similar Messages

  • BPEL Compilation Error: Load of wsdl "with Message part element undefined..

    Hi Friends,
    I am getting following error while compiling my BPEL process:
    Error: Load of wsdl "FTPWrite.wsdl with Message part element undefined in wsdl [file:/D:/MyData/_MyProjects/052_Amazon_MetadataInterface/001_SVN/002_Intl/trunc/MetadataInterfaceIntl_2013Apr15_WorkingCode/MetadataInterface_Intl/MetadataInterface_Intl.wsdl] part name = reply     type = {http://com.fox.metadata/MetadataInterfaceIntl/MetadataInterface_Intl/types}processResponse" failed
    However the reply message is already defined in the MetadataInterface_Intl.wsdlas shown below:
    Code for MetadataInterface_Intl.wsdl::::
    "<?xml version= '1.0' encoding= 'UTF-8' ?>
    <wsdl:definitions
    name="MetadataInterface_Intl"
    targetNamespace="http://xmlns.oracle.com/MetadataInterfaceIntl/MetadataInterface_Intl/MetadataInterface_Intl"
    xmlns:wsdl="http://schemas.xmlsoap.org/wsdl/"
    xmlns:inp1="http://com.fox.metadata/MetadataInterfaceIntl/MetadataInterface_Intl/types"
    xmlns:tns="http://xmlns.oracle.com/MetadataInterfaceIntl/MetadataInterface_Intl/MetadataInterface_Intl"
    >
    <wsdl:types>
    <xsd:schema xmlns:xsd="http://www.w3.org/2001/XMLSchema">
    <xsd:import namespace="http://com.fox.metadata/MetadataInterfaceIntl/MetadataInterface_Intl/types" schemaLocation="xsd/Metadata_Interface.xsd"/>
    </xsd:schema>
    </wsdl:types>
    <wsdl:message name="requestMessage">
    <wsdl:part name="request" element="inp1:process"/>
    </wsdl:message>
    *<wsdl:message name="replyMessage">*
    *<wsdl:part name="reply" element="inp1:processResponse"/>*
    *</wsdl:message>*
    <wsdl:portType name="execute_ptt">
    <wsdl:operation name="execute">
    <wsdl:input message="tns:requestMessage"/>
    <wsdl:output message="tns:replyMessage"/>
    </wsdl:operation>
    </wsdl:portType>
    </wsdl:definitions>"
    Surprisingly, this same code was compiling file last week and now I have no clue why I am getting this error. Can someone please shade some light on this issue?
    Thanks,
    Sachin.

    Hello
    I have had the same problem in Oracle BPM and solved it using the following steps:
    1- In your application navigator window, expand the project that contains the business rule.
    2- In the SOA Content, double click on your wsdl file.
    3- When the file opens, select the schema view from the bottom of the page.
    4- In the schema view, expand all the schema nodes and check if you see any values in red. If you see one, that value has probably caused the error and you should correct it using the property inspector window.
    In my case, the schema location value was set to a wrong path, so I changed it and the error resolved.
    Also, some error that appear as warning in the rule editor will show as compile error later, such as input types not being used and such, so those must be resolved before compiling.
    Hope that was helpful
    good luck

  • Compile error: Eclipse confuses Type with Window.Type

    Hi there,
    I'm using Eclipse Luna (Version: Luna Service Release 1a (4.4.1)) and JDK 1.8.0_31.
    Our projects are build with Maven. Maven build works without any problems and also our Netbeans users do not have any issues.
    But Eclipse shows compile errors and it seems, that it confuses the generic Java Type with java.awt.Window.Type which was introduced with Java 1.7.
    I created the following minimized example, which reproduces the compile problem.
    This class creates a instance of MyDialog which uses the generic Java Type:
    public class TypeTest {
    public static void main(String[] args) {
    String str = new String();
    MyDialog<String> dialog = new MyDialog<String>();
    String x = dialog.getValue(str);
    And this is the implementation of the dialog of type Type:
    import javax.swing.JDialog;
    public class MyDialog<Type> extends JDialog {
    public MyDialog() {
    super();
    public Type getValue(Type value) {
    return value;
    Eclipse persists that for getValue in MyDialog the Type is a Window.Type, which is wrong!
    This is the compile error message:
    The method getValue(Window.Type) in the type MyDialog<String> is not applicable for the arguments (String)
    Since it works without any issues for the maven build and the netbeans users, it seems to me that this is an Eclipse issue or bug? Or can someone give me a hint, how this can be solved?
    Thanks and kind regards,
    Daniel

    I just found that this question has never been answered, and from a cursory look I wasn't actually sure if this is a bug or not.
    Turns out I already wrote a little "essay" on what seems to be the same issue, see https://bugs.eclipse.org/bugs/show_bug.cgi?id=396378#c6
    Bottom line: JLS doesn't seem to specify how to interpret / handle a conflict between an inherited member type and a same-named type parameter.
    This seems to impliy that both implementations, javac and ecj - although different - are both valid wrt JLS.

  • Compilation error in jsp script with weblogic 9.1 server

    Hi All,
              i am using weblogic 9.1 compiler to compile my jsp code.
              it gives me compilation error.
              The root cause of the error is %% which comes in the code.
              for e.g take this code:-
              <%
              System.out.println("%%abc");
              %>
              this code will give error while error.
              here it is identifing %% as termination tag!
              same code works in tomcat & weblogic 8.1
              can anyone give any information in this regard.
              Reagrds
              Rahul

    I am also getting the same error. If you have solved this issue please share the solution.
              Thanks,
              Dikshit

  • Trigger Compilation Errors after Full Import with Datapump

    Hello All,
    We did a full import with Oracle Datapump, and encountered some errors related to triggers:
    ORA-39082: Object type TRIGGER:"CONVERT3"."CUBCNVT_AUDIT_RESET" created with compilation warnings
    ORA-39082: Object type TRIGGER:"CONVERT3"."CUBCNVT_AUDIT_RESET" created with compilation warnings
    ORA-39082: Object type TRIGGER:"CONVERT3"."CUBCNVT_AUDIT" created with compilation warnings
    ORA-39082: Object type TRIGGER:"CONVERT3"."CUBCNVT_AUDIT" created with compilation warnings
    ORA-39082: Object type TRIGGER:"CONVERT3"."CURCNVT_AUDIT_RESET" created with compilation warnings
    ORA-39082: Object type TRIGGER:"CONVERT3"."CURCNVT_AUDIT_RESET" created with compilation warnings
    ORA-39082: Object type TRIGGER:"CONVERT3"."CURCNVT_AUDIT" created with compilation warnings
    ORA-39082: Object type TRIGGER:"CONVERT3"."CURCNVT_AUDIT" created with compilation warningsWe are wondering if there is some bug with the datapump on oracle 10.2.0.2. What caused such errors and how to resolve this trigger issue?
    Thanks!

    Hello,
    Show errors / at the end of the trigger and see if any of the dependent objects is missing resulting in error at compilation.
    Also you can try manually fixing the issue
    CREATE OR REPLACE TRIGGER table1_trg
       AFTER INSERT
       ON TABLE1    REFERENCING NEW AS new OLD AS old
       FOR EACH ROW
    DECLARE
       tmpvar   NUMBER;
    BEGIN
    Trigger code
    EXCEPTION
       WHEN OTHERS
       THEN
          -- Consider logging the error and then re-raise
          RAISE;
    END table1_trg;
    SHOW ERRORS;Regards

  • Compile error at call Package with type parameter

    Hello!
    I have a problem.
    I have a package PKG_ARRAY_PARAMETER. This package has a procedure with an array parameter.
    PACKAGE PKG_ARRAY_PARAMETER IS
    -- Define Record and Record-Table (array)
    TYPE my_rec is record
    ( v_column1 VARCHAR2(5));
    --Array from my_rec
    TYPE my_rec_table is table of my_rec
    INDEX BY BINARY_INTEGER;
    v_rectable my_rec_table;
    PROCEDURE my_array_proc(p_array my_rec_table);
    END;
    PACKAGE BODY PKG_ARRAY_PARAMETER AS
    PROCEDURE my_array_proc (p_array my_rec_table)IS
    v_index BINARY_INTEGER;
    v_count number;
    BEGIN
    v_count := 1;
    END;
    END;
    The package compiled without errors.
    The problem ist the call of the package procedure.
    DECLARE
         -- Define Record and Record-Table (array)
    TYPE my_rec is record
    ( v_column1 VARCHAR2(5));
    --Array from my_rec
    TYPE my_rec_table is table of my_rec
    INDEX BY BINARY_INTEGER;
    v_rectable my_rec_table;
    BEGIN
         v_rectable(1).v_column1:='aaa';
         PKG_ARRAY_PARAMETER.my_array_proc(v_rectable);
    --null;     
    END;
    I get the error "Error 306.... wrong number or types of arguments in call to 'MY_ARRAY_PROC'"
    Can anybody help me. I have no idea wh I get this error.
    Thanks

    As you have discovered, even if the definitions are identical Oracle treats them as different objects. I recommend "Oracle PL/SQL Programming" by Steven Feuerstein which has a couple of chapters on collections and specifically warns against this.
    I have that section bookmarked as I can never remember how to manipulate collections.

  • Compilation Errors: operations on getters

    while making my session bean, i get two erreors that i dont understand:
    1-
    Error(124,42): method +(java.lang.Long, int) not found in class model.SessionEJBMySessionBean
    -----my code is:
    id.setIdvalue(id.getIdvalue()+1); //increment the value of the id
    ----i tried to correct it like that
    id.setIdvalue(id.getIdvalue()+new Long(1));
    ----but i got the error:
    Error(229,34): method +(java.lang.Long, java.lang.Long) not found in class model.SessionEJBMySessionBean
    2-
    Error(182,106): method <= (java.lang.Long, java.lang.Long) not found in class model.SessionEJBMySessionBean
    ---my code is:
    if (MyObject.getAttribut() >= MySecObject.getAttributminimale() && MyObject.getAttribut() <= MySecObject.getAttributmaximale())
    //compare the value of an attribut to two others in a second object
    ---i dont understand if there is a problem with the getters or what??
    i need help.
    thank u.
    regards.

    Concerning question 1: if id.getIdvalue() returns a Long object you should use the longValue() to get the primitive value and then you can add an int to it.
    Ronald

  • Problem with compiling STL using program with Forte C++ 6 update 1 in compat mode

    I try to compile SGI STL using program with Forte C++ 6 update 1 and I get an errror
    ld -L/opt/SUNWspro/WS6U1/lib -liostream test.o -o test
    Undefined first referenced
    symbol in file
    __0oNIostream_initctv test.o
    __0oNIostream_initdtv test.o
    Iostream_init - declared as a static class CC4/iostream.h, but nm libiostream.a produce
    __1cNIostream_init2T6M_v_
    __1cNIostream_init2t6M_v_
    and program can't link.
    What's wrong?
    Thank you for any comments

    Hi!
    I experienced the same problem and the solution looks like the following: in sunpro6.mak file there is a variable STL_INCL that has the following value: -I. -I${PWD}/../stlport
    Change that to -I. -I${PWD}/../stlport/SC5 and the problem vanishes. The reason is that stlport/SC5 contains files *.SUNWCCh which are used by SUNpro C++ compiler as standard headers and contain correct STLPort namespace information.
    Regars,
    Art

  • "catch is unreachable" compiler error with java try/catch statement

    I'm receiving a compiler error, "catch is unreachable", with the following code. I'm calling a method, SendMail(), which can throw two possible exceptions. I thought that the catch statements executed in order, and the first one that is caught will execute? Is their a change with J2SE 1.5 compiler? I don't want to use a generic Exception, because I want to handle the specific exceptions. Any suggestions how to fix? Thanks
    try {
    SendMail(....);
    } catch (MessagingException e1) {
    logger.fine(e1.toString());
    } catch (AddressException e2) {
    logger.fine(e2.toString());
    public String SendMail(....) throws AddressException,
    MessagingException {....                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                   

    I found the problem:
    "A catch block handles exceptions that match its exception type (parameter) and exception types that are subclasses of its exception type (parameter). Only the first catch block that handles a particular exception type will execute, so the most specific exception type should come first. You may get a catch is unreachable syntax error if your catch blocks do not follow this order."
    If I switch the order of the catch exceptions the compiler error goes away.
    thanks

  • Compile Error std::bad_alloc is not in the current exception specification

    I get a compile Error when I use the Forte C++ Version 6U1 compiler. I am porting the code from 4.6 to 6U1. The error is generated from the standard new interface header file ....include/CC/new. The compiler options i used are CC -c -features=no%bool . Any help would be highly appreciated.
    Thanks

    One of the header files had a #define std. When I commented this line out the error disappeared. This was in the standard mode.Not sure if the error was due to namespace corruption.
    I finally compiled the program in compatability mode because I would have had to make wholesale changes to the code to make it conform to the new STL components in the standard mode.

  • Compile Errors occured when simulink models including 'cmex' by SIT

    I am trying to compile a simulink model to DLL with following softwares and hardwares.
    Software:
    LabVIEW 8.0.1
    LabVIEW Realtime 8.0.1
    Simulation Interface Toolkit 3.0
    MATLAB R13
    Visual C++ 6.0 Service Pack 4
    Target Hardware:
    PXI-1042RTPXI-8196
    PXI-6289
    When I tried to compile a model including 'cmex' function on Simulink to DLL, compile error about Visual C++ occured. Does SIT support the model including 'cmex' functions?
    When I removed the function 'cmex', compiling is working correctly.
    To import original model which is written by C or other language, I strongly want to use 'cmex' functions. To avoid the compile error, if you have any ideas, please let me know.
    Thanks,
    Tsugo

    Hello Tsugo,
    I believe that it is possible to compile a DLL for SIT from a model that contains a cmex function.
    To tell whether the issue is caused by SIT or not, you can try changing the RTW target file from nidll.tlc to grt.tlc (in the model's Simulation Parameters dialog, look in the Real-Time Workshop tab), and see whether the compile error still happens. If the compiler error occurs with grt.tlc, then please refer to the documentation provided by The MathWorks Inc. on how to get your cmex functions to work in Real-Time Workshop®.
    If the compiler error does not occur with grt.tlc, then please post the whole text of the compiler error.
    Thanks!
    Andrew

  • Compiler error with forte 6.2 - assertion failed in sp_interface.cc

    I have a compilation error when compiling on my Ultra 10
    using Forte 6.2 C compiler (5.3). Here's the line:
    teds@enigma[195]% cc -xtarget=ultra3 -xarch=v8plusb -dalign -fns -fsimple=2 -ftrap=%none -xlibmil !!
    cc -xtarget=ultra3 -xarch=v8plusb -dalign -fns -fsimple=2 -ftrap=%none -xlibmil -xO4 -DCMO_DEBUG_DETAIL -DUSE_DATACONN -c cmoisubs.c -o cmoisubs.o
    cg: assertion failed in file ../src/ms_pipe/sp_interface.cc at line 689
    cg: Internal error: constval annotation set on reg with multiple defs
    cg: 1 errors
    cc: cg failed for cmoisubs.c
    teds@enigma[196]%
    Does anyone have any ideas?
    Thanks,
    Ted

    Hi There,
    Form the error message it seems like a bug in cg.
    Try the follwoing option and see if the bug goes away.
    -Qoption cg -O0 or use -Qms_pipe-off.
    Please refer C user's guide for usage.
    ....jagruti
    Developers Technical Support
    Sun Microsystems, http://www.sun.com/developers/support

  • JDev 1013 Error: Internal compilation error, terminated with a fatal except

    I've a class I compiled used in JDev 10.1.2.1.
    Now I try to compile it with JDev 10.1.3 but I get an error :
    Error: Internal compilation error, terminated with a fatal exception
    What does it means ?
    How can I solve it ?
    I tried to use both 5.0 and 1.4.2 compilers but the result is the same.
    Tks
    Tullio
    Here the class
    /* The following code was generated by JFlex 1.2.2 on 05/10/04 17.46 */
    * Copyright (C) 1998,99 Gerwin Klein <[email protected]>. *
    * All rights reserved. *
    * This program is free software; you can redistribute it and/or modify *
    * it under the terms of the GNU General Public License. See the file *
    * COPYRIGHT for more information. *
    * This program is distributed in the hope that it will be useful, *
    * but WITHOUT ANY WARRANTY; without even the implied warranty of *
    * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the *
    * GNU General Public License for more details. *
    * You should have received a copy of the GNU General Public License along *
    * with this program; if not, write to the Free Software Foundation, Inc., *
    * 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA *
    package axioma.a4j.parser;
    import java_cup.runtime.*;
    * This class is a scanner generated by
    * JFlex 1.2.2
    * on 05/10/04 17.46 from the specification file
    * <tt>file:/C:/A4j/A4j/Common/Parser/grammatiche/Scanner.flex</tt>
    public class AxScanner implements java_cup.runtime.Scanner {
    /** this character denotes the end of file */
    final public static int YYEOF = -1;
    /** lexical states */
    final public static int STRING = 1;
    final public static int YYINITIAL = 0;
    final public static int CHARLITERAL = 2;
    * Translates characters to character classes
    final private static String yycmap_packed =
    "\11\10\1\3\1\2\1\0\1\3\1\1\16\10\4\0\1\3\1\50"+
    "\1\16\1\0\1\7\1\0\1\44\1\15\1\31\1\32\1\5\1\41"+
    "\1\40\1\42\1\14\1\4\1\12\11\13\1\0\1\37\1\47\1\46"+
    "\1\45\2\0\32\11\1\35\1\17\1\36\1\0\1\11\1\0\1\25"+
    "\1\51\2\11\1\23\1\24\5\11\1\26\1\6\1\30\3\11\1\21"+
    "\1\27\1\20\1\22\5\11\1\33\1\43\1\34\1\0\41\10\2\0"+
    "\4\11\4\0\1\11\12\0\1\11\4\0\1\11\5\0\27\11\1\0"+
    "\37\11\1\0\u0128\11\2\0\22\11\34\0\136\11\2\0\11\11\2\0"+
    "\7\11\16\0\2\11\16\0\5\11\11\0\1\11\21\0\117\10\21\0"+
    "\3\10\27\0\1\11\13\0\1\11\1\0\3\11\1\0\1\11\1\0"+
    "\24\11\1\0\54\11\1\0\10\11\2\0\32\11\14\0\202\11\1\0"+
    "\4\10\5\0\71\11\2\0\2\11\2\0\2\11\3\0\46\11\2\0"+
    "\2\11\67\0\46\11\2\0\1\11\7\0\47\11\11\0\21\10\1\0"+
    "\27\10\1\0\3\10\1\0\1\10\1\0\2\10\1\0\1\10\13\0"+
    "\33\11\5\0\3\11\56\0\32\11\5\0\13\11\13\10\12\0\12\10"+
    "\6\0\1\10\143\11\1\0\1\11\7\10\2\0\6\10\2\11\2\10"+
    "\1\0\4\10\2\0\12\10\3\11\22\0\1\10\1\11\1\10\33\11"+
    "\3\0\33\10\65\0\46\11\13\10\u0150\0\3\10\1\0\65\11\2\0"+
    "\1\10\1\11\20\10\2\0\1\11\4\10\3\0\12\11\2\10\2\0"+
    "\12\10\21\0\3\10\1\0\10\11\2\0\2\11\2\0\26\11\1\0"+
    "\7\11\1\0\1\11\3\0\4\11\2\0\1\10\1\0\7\10\2\0"+
    "\2\10\2\0\3\10\11\0\1\10\4\0\2\11\1\0\3\11\2\10"+
    "\2\0\12\10\4\11\16\0\1\10\2\0\6\11\4\0\2\11\2\0"+
    "\26\11\1\0\7\11\1\0\2\11\1\0\2\11\1\0\2\11\2\0"+
    "\1\10\1\0\5\10\4\0\2\10\2\0\3\10\13\0\4\11\1\0"+
    "\1\11\7\0\14\10\3\11\14\0\3\10\1\0\7\11\1\0\1\11"+
    "\1\0\3\11\1\0\26\11\1\0\7\11\1\0\2\11\1\0\5\11"+
    "\2\0\1\10\1\11\10\10\1\0\3\10\1\0\3\10\2\0\1\11"+
    "\17\0\1\11\5\0\12\10\21\0\3\10\1\0\10\11\2\0\2\11"+
    "\2\0\26\11\1\0\7\11\1\0\2\11\2\0\4\11\2\0\1\10"+
    "\1\11\6\10\3\0\2\10\2\0\3\10\10\0\2\10\4\0\2\11"+
    "\1\0\3\11\4\0\12\10\22\0\2\10\1\0\6\11\3\0\3\11"+
    "\1\0\4\11\3\0\2\11\1\0\1\11\1\0\2\11\3\0\2\11"+
    "\3\0\3\11\3\0\10\11\1\0\3\11\4\0\5\10\3\0\3\10"+
    "\1\0\4\10\11\0\1\10\17\0\11\10\21\0\3\10\1\0\10\11"+
    "\1\0\3\11\1\0\27\11\1\0\12\11\1\0\5\11\4\0\7\10"+
    "\1\0\3\10\1\0\4\10\7\0\2\10\11\0\2\11\4\0\12\10"+
    "\22\0\2\10\1\0\10\11\1\0\3\11\1\0\27\11\1\0\12\11"+
    "\1\0\5\11\4\0\7\10\1\0\3\10\1\0\4\10\7\0\2\10"+
    "\7\0\1\11\1\0\2\11\4\0\12\10\22\0\2\10\1\0\10\11"+
    "\1\0\3\11\1\0\27\11\1\0\20\11\4\0\6\10\2\0\3\10"+
    "\1\0\4\10\11\0\1\10\10\0\2\11\4\0\12\10\22\0\2\10"+
    "\1\0\22\11\3\0\30\11\1\0\11\11\1\0\1\11\2\0\7\11"+
    "\3\0\1\10\4\0\6\10\1\0\1\10\1\0\10\10\22\0\2\10"+
    "\15\0\60\11\1\10\2\11\7\10\4\0\10\11\10\10\1\0\12\10"+
    "\47\0\2\11\1\0\1\11\2\0\2\11\1\0\1\11\2\0\1\11"+
    "\6\0\4\11\1\0\7\11\1\0\3\11\1\0\1\11\1\0\1\11"+
    "\2\0\2\11\1\0\4\11\1\10\2\11\6\10\1\0\2\10\1\11"+
    "\2\0\5\11\1\0\1\11\1\0\6\10\2\0\12\10\2\0\2\11"+
    "\42\0\1\11\27\0\2\10\6\0\12\10\13\0\1\10\1\0\1\10"+
    "\1\0\1\10\4\0\2\10\10\11\1\0\42\11\6\0\24\10\1\0"+
    "\2\10\4\11\4\0\10\10\1\0\44\10\11\0\1\10\71\0\42\11"+
    "\1\0\5\11\1\0\2\11\1\0\7\10\3\0\4\10\6\0\12\10"+
    "\6\0\6\11\4\10\106\0\46\11\12\0\47\11\11\0\132\11\5\0"+
    "\104\11\5\0\122\11\6\0\7\11\1\0\77\11\1\0\1\11\1\0"+
    "\4\11\2\0\7\11\1\0\1\11\1\0\4\11\2\0\47\11\1\0"+
    "\1\11\1\0\4\11\2\0\37\11\1\0\1\11\1\0\4\11\2\0"+
    "\7\11\1\0\1\11\1\0\4\11\2\0\7\11\1\0\7\11\1\0"+
    "\27\11\1\0\37\11\1\0\1\11\1\0\4\11\2\0\7\11\1\0"+
    "\47\11\1\0\23\11\16\0\11\10\56\0\125\11\14\0\u026c\11\2\0"+
    "\10\11\12\0\32\11\5\0\113\11\225\0\64\11\40\10\7\0\1\11"+
    "\4\0\12\10\41\0\4\10\1\0\12\10\6\0\130\11\10\0\51\11"+
    "\1\10\u0556\0\234\11\4\0\132\11\6\0\26\11\2\0\6\11\2\0"+
    "\46\11\2\0\6\11\2\0\10\11\1\0\1\11\1\0\1\11\1\0"+
    "\1\11\1\0\37\11\2\0\65\11\1\0\7\11\1\0\1\11\3\0"+
    "\3\11\1\0\7\11\3\0\4\11\2\0\6\11\4\0\15\11\5\0"+
    "\3\11\1\0\7\11\17\0\4\10\32\0\5\10\20\0\2\11\51\0"+
    "\6\10\17\0\1\11\40\0\20\11\40\0\15\10\4\0\1\10\40\0"+
    "\1\11\4\0\1\11\2\0\12\11\1\0\1\11\3\0\5\11\6\0"+
    "\1\11\1\0\1\11\1\0\1\11\1\0\4\11\1\0\3\11\1\0"+
    "\7\11\46\0\44\11\u0e81\0\3\11\31\0\11\11\6\10\1\0\5\11"+
    "\2\0\3\11\6\0\124\11\4\0\2\10\2\0\2\11\2\0\136\11"+
    "\6\0\50\11\4\0\136\11\21\0\30\11\u0248\0\u19b6\11\112\0\u51a6\11"+
    "\132\0\u048d\11\u0773\0\u2ba4\11\u215c\0\u012e\11\322\0\7\11\14\0\5\11"+
    "\5\0\1\11\1\10\12\11\1\0\15\11\1\0\5\11\1\0\1\11"+
    "\1\0\2\11\1\0\2\11\1\0\154\11\41\0\u016b\11\22\0\100\11"+
    "\2\0\66\11\50\0\14\11\44\0\4\10\17\0\2\11\30\0\3\11"+
    "\31\0\1\11\6\0\3\11\1\0\1\11\1\0\207\11\2\0\1\10"+
    "\4\0\1\11\13\0\12\10\7\0\32\11\4\0\1\11\1\0\32\11"+
    "\12\0\132\11\3\0\6\11\2\0\6\11\2\0\6\11\2\0\3\11"+
    "\3\0\2\11\3\0\2\11\22\0\3\10\4\0";
    * Translates characters to character classes
    final private static char [] yycmap = yy_unpack_cmap(yycmap_packed);
    * Translates a state to a row index in the transition table
    final private static int yy_rowMap [] = {
    0, 42, 84, 126, 168, 126, 210, 126, 252, 294,
    336, 378, 126, 126, 420, 462, 504, 126, 126, 126,
    126, 126, 126, 126, 126, 126, 126, 546, 126, 588,
    126, 630, 672, 714, 756, 126, 126, 798, 840, 882,
    126, 924, 966, 1008, 1050, 336, 1092, 1134, 1176, 1218,
    126, 126, 126, 126, 126, 126, 126, 126, 126, 126,
    126, 126, 126, 126, 1260, 1302, 1344, 1386, 1428, 1470,
    1512, 1554, 126, 1596, 1638, 1680, 1722, 126, 126, 126,
    126, 126, 126, 126, 1764, 294, 1806, 294, 294
    * The packed transition table of the DFA
    final private static String yy_packed =
    "\1\4\1\5\2\6\1\7\1\10\1\11\1\12\1\4"+
    "\1\12\1\13\1\14\1\4\1\15\1\16\1\4\1\17"+
    "\3\12\1\20\3\12\1\21\1\22\1\23\1\24\1\25"+
    "\1\26\1\27\1\30\1\31\1\32\1\33\1\34\1\35"+
    "\1\36\1\37\1\40\1\41\1\12\1\42\1\43\1\44"+
    "\12\42\1\45\1\42\1\46\32\42\1\47\1\50\1\51"+
    "\13\47\1\4\1\52\32\47\54\0\1\6\53\0\1\53"+
    "\1\54\52\0\1\12\1\55\4\12\4\0\11\12\20\0"+
    "\1\12\6\0\6\12\4\0\11\12\20\0\1\12\12\0"+
    "\2\56\1\57\47\0\2\14\1\57\43\0\6\12\4\0"+
    "\1\12\1\60\7\12\20\0\1\12\6\0\6\12\4\0"+
    "\5\12\1\61\3\12\20\0\1\12\6\0\6\12\4\0"+
    "\2\12\1\62\6\12\20\0\1\12\43\0\1\63\54\0"+
    "\1\64\51\0\1\65\51\0\1\66\3\0\1\42\2\0"+
    "\12\42\1\0\1\42\1\0\32\42\2\0\1\44\47\0"+
    "\2\67\1\0\12\67\1\70\1\71\1\72\1\73\1\74"+
    "\2\67\1\75\3\67\1\76\20\67\1\77\15\0\1\100"+
    "\36\0\1\51\47\0\2\67\1\0\12\67\1\101\1\102"+
    "\1\103\1\104\1\105\2\67\1\106\3\67\1\104\20\67"+
    "\1\107\1\53\1\110\1\111\47\53\52\112\6\0\6\55"+
    "\4\0\11\55\20\0\1\55\12\0\2\57\44\0\6\12"+
    "\4\0\2\12\1\113\6\12\20\0\1\12\6\0\6\12"+
    "\4\0\6\12\1\114\2\12\20\0\1\12\6\0\6\12"+
    "\4\0\6\12\1\115\2\12\20\0\1\12\15\0\1\116"+
    "\51\0\1\117\51\0\1\120\51\0\1\121\51\0\1\122"+
    "\51\0\1\123\51\0\1\124\36\0\1\111\47\0\5\112"+
    "\1\125\44\112\6\0\6\12\4\0\3\12\1\126\5\12"+
    "\20\0\1\12\6\0\6\12\4\0\7\12\1\127\1\12"+
    "\20\0\1\12\6\0\6\12\4\0\6\12\1\130\2\12"+
    "\20\0\1\12\4\112\1\111\1\125\44\112\6\0\6\12"+
    "\4\0\3\12\1\131\5\12\20\0\1\12";
    * The transition table of the DFA
    final private static int yytrans [] = yy_unpack(yy_packed);
    /* error codes */
    final private static int YY_UNKNOWN_ERROR = 0;
    final private static int YY_ILLEGAL_STATE = 1;
    final private static int YY_NO_MATCH = 2;
    final private static int YY_PUSHBACK_2BIG = 3;
    /* error messages for the codes above */
    final private static String YY_ERROR_MSG[] = {
    "Unkown internal scanner error",
    "Internal error: unknown state",
    "Error: could not match input",
    "Error: pushback value was too large"
    * YY_ATTRIBUTE[aState] contains the attributes of state <code>aState</code>
    private final static byte YY_ATTRIBUTE[] = {
    0, 0, 0, 9, 1, 9, 1, 9, 1, 1, 1, 1, 9, 9, 1, 1,
    1, 9, 9, 9, 9, 9, 9, 9, 9, 9, 9, 1, 9, 1, 9, 1,
    1, 1, 1, 9, 9, 1, 1, 1, 9, 1, 0, 0, 1, 0, 1, 1,
    1, 1, 9, 9, 9, 9, 9, 9, 9, 9, 9, 9, 9, 9, 9, 9,
    1, 1, 1, 1, 1, 1, 1, 1, 9, 0, 1, 1, 1, 9, 9, 9,
    9, 9, 9, 9, 0, 1, 1, 1, 1
    /** the input device */
    private java.io.Reader yy_reader;
    /** the current state of the DFA */
    private int yy_state;
    /** the current lexical state */
    private int yy_lexical_state = YYINITIAL;
    /** this buffer contains the current text to be matched and is
    the source of the yytext() string */
    private char yy_buffer[] = new char[16384];
    /** the textposition at the last accepting state */
    private int yy_markedPos;
    /** the textposition at the last state to be included in yytext */
    private int yy_pushbackPos;
    /** the current text position in the buffer */
    private int yy_currentPos;
    /** startRead marks the beginning of the yytext() string in the buffer */
    private int yy_startRead;
    /** endRead marks the last character in the buffer, that has been read
    from input */
    private int yy_endRead;
    /** number of newlines encountered up to the start of the matched text */
    private int yyline;
    /** the number of characters up to the start of the matched text */
    private int yychar;
    * the number of characters from the last newline up to the start of the
    * matched text
    private int yycolumn;
    * yy_atBOL == true <=> the scanner is currently at the beginning of a line
    private boolean yy_atBOL;
    /** yy_atEOF == true <=> the scanner has returned a value for EOF */
    private boolean yy_atEOF;
    /** denotes if the user-EOF-code has already been executed */
    private boolean yy_eof_done;
    /* user code: */
    StringBuffer string = new StringBuffer();
    private InContestoParser mContesto = null;
    private Symbol symbol(int type) {
    return new JavaSymbol(type, yyline+1, yycolumn+1);
    private Symbol symbol(int type, Object value) {
    return new JavaSymbol(type, yyline+1, yycolumn+1, value);
    private Symbol axSymbol(Object value) {
    int tipo = 0;
    CercaValore cercaVal = new CercaValoreComm(value.toString(),mContesto);
    Ritorno rito = cercaVal.cercaValore();
    int tipoSym = rito.getTipo();
    if (tipoSym == Domini.tipoDatoNumerico) {
         tipo = AxSym.VAR_N;
    else if (tipoSym == Domini.tipoDatoAlfanumerico) {
    tipo = AxSym.VAR_A;
    else if (tipoSym == Domini.tipoDatoBooleano) {
         tipo = AxSym.VAR_B;
    JavaSymbol myJ = new JavaSymbol(tipo, yyline+1, yycolumn+1, value);
    return myJ;
    private Symbol axMetodo(Object value) {
    int tipo = 0;
    CercaValore cercaVal = new CercaValoreComm(value.toString(),mContesto);
    Ritorno rito = cercaVal.cercaValore();
    int tipoSym = rito.getTipo();
    if (tipoSym == Domini.tipoDatoNumerico) {
         tipo = AxSym.MET_N;
    else if (tipoSym == Domini.tipoDatoAlfanumerico) {
    tipo = AxSym.MET_A;
    else if (tipoSym == Domini.tipoDatoBooleano) {
         tipo = AxSym.MET_B;
    JavaSymbol myJ = new JavaSymbol(tipo, yyline+1, yycolumn+1, value);
    return myJ;
    * Set the reader and reset variables
    public void setReader(java.io.Reader in) {
    this.yy_lexical_state = YYINITIAL;
    this.yy_atEOF = false;
    this.yy_atBOL = false;
    this.yy_eof_done = false;
    this.yy_state = 0;
    this.yy_pushbackPos = 0;
    this.yy_markedPos = 0;
    this.yy_currentPos = 0;
    this.yy_startRead = 0;
    this.yy_endRead = 0;
    this.yyline = 0;
    this.yychar = 0;
    this.yycolumn = 0;
    this.yy_reader = in;
    public void setContesto(InContestoParser xpContesto) {
    mContesto = xpContesto;
    public InContestoParser getContesto() {
    return mContesto;
    * Creates a new scanner.
    * There is also java.io.Reader version of this constructor.
    * @param in the java.io.Inputstream to read input from.
    public AxScanner() throws java.io.IOException { this(System.in); }
    * Creates a new scanner
    * There is also a java.io.InputStream version of this constructor.
    * @param in the java.io.Reader to read input from.
    AxScanner(java.io.Reader in) {
    this.yy_reader = in;
    * Creates a new scanner.
    * There is also java.io.Reader version of this constructor.
    * @param in the java.io.Inputstream to read input from.
    AxScanner(java.io.InputStream in) {
    this(new java.io.InputStreamReader(in));
    * Unpacks the compressed DFA transition table.
    * @param packed the packed transition table
    * @return the unpacked transition table
    private static int [] yy_unpack(String packed) {
    int [] trans = new int[1848];
    int i = 0; /* index in packed string */
    int j = 0; /* index in unpacked array */
    while (i < 492) {
    int count = packed.charAt(i++);
    int value = packed.charAt(i++);
    value--;
    do trans[j++] = value; while (--count > 0);
    return trans;
    * Unpacks the compressed character translation table.
    * @param packed the packed character translation table
    * @return the unpacked character translation table
    private static char [] yy_unpack_cmap(String packed) {
    char [] map = new char[0x10000];
    int i = 0; /* index in packed string */
    int j = 0; /* index in unpacked array */
    while (i < 1614) {
    int count = packed.charAt(i++);
    char value = packed.charAt(i++);
    do map[j++] = value; while (--count > 0);
    return map;
    * Gets the next input character.
    * @return the next character of the input stream, EOF if the
    * end of the stream is reached.
    * @exception IOException if any I/O-Error occurs
    private int yy_advance() throws java.io.IOException {
    /* standard case */
    if (yy_currentPos < yy_endRead) return yy_buffer[yy_currentPos++];
    /* if the eof is reached, we don't need to work hard */
    if (yy_atEOF) return YYEOF;
    /* otherwise: need to refill the buffer */
    /* first: make room (if you can) */
    if (yy_startRead > 0) {
    System.arraycopy(yy_buffer, yy_startRead,
    yy_buffer, 0,
    yy_endRead-yy_startRead);
    /* translate stored positions */
    yy_endRead-= yy_startRead;
    yy_currentPos-= yy_startRead;
    yy_markedPos-= yy_startRead;
    yy_pushbackPos-= yy_startRead;
    yy_startRead = 0;
    /* is the buffer big enough? */
    if (yy_currentPos >= yy_buffer.length) {
    /* if not: blow it up */
    char newBuffer[] = new char[yy_currentPos*2];
    System.arraycopy(yy_buffer, 0, newBuffer, 0, yy_buffer.length);
    yy_buffer = newBuffer;
    /* finally: fill the buffer with new input */
    int numRead = yy_reader.read(yy_buffer, yy_endRead,
    yy_buffer.length-yy_endRead);
    if ( numRead == -1 ) return YYEOF;
    yy_endRead+= numRead;
    return yy_buffer[yy_currentPos++];
    * Closes the input stream.
    final public void yyclose() throws java.io.IOException {
    yy_atEOF = true; /* indicate end of file */
    yy_endRead = yy_startRead; /* invalidate buffer */
    yy_reader.close();
    * Returns the current lexical state.
    final public int yystate() {
    return yy_lexical_state;
    * Enters a new lexical state
    * @param newState the new lexical state
    final public void yybegin(int newState) {
    yy_lexical_state = newState;
    * Returns the text matched by the current regular expression.
    final public String yytext() {
    return new String( yy_buffer, yy_startRead, yy_markedPos-yy_startRead );
    * Returns the length of the matched text region.
    final public int yylength() {
    return yy_markedPos-yy_startRead;
    * Reports an error that occured while scanning.
    * @param errorCode the code of the errormessage to display
    private void yy_ScanError(int errorCode) {
    try {
    System.out.println(YY_ERROR_MSG[errorCode]);
    catch (ArrayIndexOutOfBoundsException e) {
    System.out.println(YY_ERROR_MSG[YY_UNKNOWN_ERROR]);
    System.exit(1);
    * Pushes the specified amount of characters back into the input stream.
    * They will be read again by then next call of the scanning method
    * @param number the number of characters to be read again.
    * This number must not be greater than yylength()!
    private void yypushback(int number) {
    if ( number > yylength() )
    yy_ScanError(YY_PUSHBACK_2BIG);
    yy_markedPos -= number;
    * Contains user EOF-code, which will be executed exactly once,
    * when the end of file is reached
    private void yy_do_eof() throws java.io.IOException {
    if (!yy_eof_done) {
    yy_eof_done = true;
    yyclose();
    * Resumes scanning until the next regular expression is matched,
    * the end of input is encountered or an I/O-Error occurs.
    * @return the next token
    * @exception IOException if any I/O-Error occurs
    public java_cup.runtime.Symbol next_token() throws java.io.IOException {
    int yy_input;
    int yy_action;
    while (true) {
    boolean yy_counted = false;
    for (yy_currentPos = yy_startRead; yy_currentPos < yy_markedPos;
    yy_currentPos++) {
    switch (yy_buffer[yy_currentPos]) {
    case '\r':
    yyline++;
    yycolumn = 0;
    yy_counted = true;
    break;
    case '\n':
    if (yy_counted)
    yy_counted = false;
    else {
    yyline++;
    yycolumn = 0;
    break;
    default:
    yy_counted = false;
    yycolumn++;
    if (yy_counted) {
    if ( yy_advance() == '\n' ) yyline--;
    if ( !yy_atEOF ) yy_currentPos--;
    yy_action = -1;
    yy_currentPos = yy_startRead = yy_markedPos;
    yy_state = yy_lexical_state;
    yy_forAction: {
    while (true) {
    yy_input = yy_advance();
    if ( yy_input == YYEOF ) break yy_forAction;
    int yy_next = yytrans[ yy_rowMap[yy_state] + yycmap[yy_input] ];
    if (yy_next == -1) break yy_forAction;
    yy_state = yy_next;
    int yy_attributes = YY_ATTRIBUTE[yy_state];
    if ( (yy_attributes & 1) > 0 ) {
    yy_action = yy_state;
    yy_markedPos = yy_currentPos;
    if ( (yy_attributes & 8) > 0 ) break yy_forAction;
    switch (yy_action) {   
    case 83:
    {  yybegin(YYINITIAL); return symbol(AxSym.CHARACTER_LITERAL, new Character('\b')); }
    case 90: break;
    case 82:
    {  yybegin(YYINITIAL); return symbol(AxSym.CHARACTER_LITERAL, new Character('\f')); }
    case 91: break;
    case 81:
    {  yybegin(YYINITIAL); return symbol(AxSym.CHARACTER_LITERAL, new Character('\r')); }
    case 92: break;
    case 80:
    {  yybegin(YYINITIAL); return symbol(AxSym.CHARACTER_LITERAL, new Character('\t')); }
    case 93: break;
    case 78:
    {  yybegin(YYINITIAL); return symbol(AxSym.CHARACTER_LITERAL, new Character('\"')); }
    case 94: break;
    case 77:
    {  yybegin(YYINITIAL); return symbol(AxSym.CHARACTER_LITERAL, new Character('\'')); }
    case 95: break;
    case 88:
    {  return symbol(AxSym.BOOLEAN_LITERAL, new Boolean(false));  }
    case 96: break;
    case 87:
    {  return symbol(AxSym.NULL_LITERAL);  }
    case 97: break;
    case 85:
    {  return symbol(AxSym.BOOLEAN_LITERAL, new Boolean(true));  }
    case 98: break;
    case 79:
    {  yybegin(YYINITIAL); return symbol(AxSym.CHARACTER_LITERAL, new Character('\\'));  }
    case 99: break;
    case 71:
    case 72:
    {  /* ignore */  }
    case 100: break;
    case 63:
    {  yybegin(YYINITIAL); return symbol(AxSym.CHARACTER_LITERAL, new Character(yytext().charAt(0)));  }
    case 101: break;
    case 62:
    {  string.append( '\b' );  }
    case 102: break;
    case 61:
    {  string.append( '\n' );  }
    case 103: break;
    case 60:
    {  string.append( '\f' );  }
    case 104: break;
    case 59:
    {  string.append( '\r' );  }
    case 105: break;
    case 58:
    {  string.append( '\t' );  }
    case 106: break;
    case 57:
    {  string.append( '\\' );  }
    case 107: break;
    case 56:
    {  string.append( '\"' );  }
    case 108: break;
    case 55:
    {  string.append( '\'' );  }
    case 109: break;
    case 25:
    {  return symbol(AxSym.PLUS);  }
    case 110: break;
    case 24:
    {  return symbol(AxSym.COMMA);  }
    case 111: break;
    case 23:
    {  return symbol(AxSym.SEMI);  }
    case 112: break;
    case 22:
    {  return symbol(AxSym.RQUADRA);  }
    case 113: break;
    case 21:
    {  return symbol(AxSym.LQUADRA);  }
    case 114: break;
    case 20:
    {  return symbol(AxSym.RGRAFFA);  }
    case 115: break;
    case 19:
    {  return symbol(AxSym.LGRAFFA);  }
    case 116: break;
    case 18:
    {  return symbol(AxSym.RPAREN);  }
    case 117: break;
    case 17:
    {  return symbol(AxSym.LPAREN);  }
    case 118: break;
    case 3:
    case 37:
    case 38:
    case 41:
    {  throw new RuntimeException("Illegal character \""+yytext()+"\" at line "+yyline+", column "+yycolumn);  }
    case 119: break;
    case 4:
    case 5:
    {  /*return symbol(AxSym.INVIO);*/ /* ignore */  }
    case 120: break;
    case 6:
    {  return symbol(AxSym.DIVIDE);  }
    case 121: break;
    case 7:
    {  return symbol(AxSym.TIMES);  }
    case 122: break;
    case 8:
    case 9:
    case 14:
    case 15:
    case 16:
    case 47:
    case 48:
    case 49:
    case 74:
    case 75:
    case 76:
    case 86:
    {  return axSymbol(yytext());  }
    case 123: break;
    case 10:
    case 11:
    {  return symbol(AxSym.INTEGER_LITERAL, new Integer(yytext()));  }
    case 124: break;
    case 12:
    {  yybegin(STRING); string.setLength(0);  }
    case 125: break;
    case 13:
    {  yybegin(CHARLITERAL);  }
    case 126: break;
    case 26:
    {  return symbol(AxSym.MINUS);  }
    case 127: break;
    case 27:
    {  return symbol(AxSym.ORB);  }
    case 128: break;
    case 28:
    {  return symbol(AxSym.ANDB);  }
    case 129: break;
    case 29:
    {  return symbol(AxSym.GT);  }
    case 130: break;
    case 30:
    {  return symbol(AxSym.EQ);  }
    case 131: break;
    case 31:
    {  return symbol(AxSym.LT);  }
    case 132: break;
    case 32:
    {  return symbol(AxSym.NEG);  }
    case 133: break;
    case 33:
    {  string.append( yytext() );  }
    case 134: break;
    case 34:
    case 35:
    {  throw new RuntimeException("Unterminated string at end of line");  }
    case 135: break;
    case 36:
    {  yybegin(YYINITIAL); return symbol(AxSym.STRING_LITERAL, string.toString());  }
    case 136: break;
    case 39:
    case 40:
    {  throw new RuntimeException("Unterminated character literal at end of line");  }
    case 137: break;
    case 44:
    {  return axMetodo(yytext());  }
    case 138: break;
    case 46:
    {  return symbol(AxSym.FLOATING_POINT_LITERAL, new Float(yytext()));  }
    case 139: break;
    case 50:
    {  return symbol(AxSym.DUEP);  }
    case 140: break;
    case 51:
    {  return symbol(AxSym.GE);  }
    case 141: break;
    case 52:
    {  return symbol(AxSym.LE);  }
    case 142: break;
    case 53:
    {  return symbol(AxSym.NE);  }
    case 143: break;
    case 54:
    case 64:
    case 65:
    case 66:
    case 67:
    case 68:
    case 69:
    case 70:
    {  throw new RuntimeException("Illegal escape sequence \""+yytext()+"\"");  }
    case 144: break;
    default:
    if (yy_input == YYEOF && yy_startRead == yy_currentPos) {
    yy_atEOF = true;
    yy_do_eof();
    {     return new java_cup.runtime.Symbol(AxSym.EOF);
    else {
    yy_ScanError(YY_NO_MATCH);
    }

    I setted use Javac checkbox and it worked ???
    Could someone explain me what happened ?
    The problem seems to be in the very long privated final string I defined, making it shorther the problem is solved again .
    Tks
    Tullio

  • Getting Compilation error when used SET or MULTISET operator on nested tabl

    Dear All,
    I am getting Compilation error when used SET or MULTISET operator on nested tables inside a procedure.
    This is working fine in other DB installations of 10g but does not work in another 10g DB.
    it says "wrong number of parameter or datatype used in SET"
    Can any one suggest what went wrong here?
    Thanks in advance.

    Can any one suggest what went wrong here?Only if you would post the query and Oracle versions on both databases.
    Besides, this forum deals with issues in Oracle product installation. So post this query in SQL PL/SQL forum for better response.

  • Compilation error when used SET or MULTISET operator on nested tables

    Dear All,
    I am getting Compilation error when used SET or MULTISET operator on nested tables inside a procedure.
    This is working fine in other DB installations of 10g but does not work in another 10g DB.
    it says "wrong number of parameter or datatype used in SET"
    Can any one suggest what went wrong here?
    Thanks in advance.

    Hi,
    Thanks for ur reply...
    Since MULTISET and SET operators are the new additions in base 10g release for manipulation of nested tables data, I am surprised that same is working in similar 5 DBs installations with 10.2.0.1.0 version, but does not work in the sixth.
    SET and MULTISET operators are used inside the PL/SQL procedure which is getting compiled in the above mentioned 5 DBs but not in sixth DB.
    it gives
    On line: 3112
    PLS-00306: wrong number or types of arguments in call to 'SET'
    Hope this clarifies the issue...

Maybe you are looking for

  • Approval of order

    Hi all, Our client's requirement is that before release of maintenance order, it should be approved by two different authorities (i.e. one administrative approval and another is financial approval). Once the order has been approved by the two approve

  • Images in Itunes store won't display

    I've downloaded all upgrades onto my laptop. When I open the store, the images don't display (a broken chain does instead). I've restarted. How do I get the images to show back up?

  • Problems Facing in BDC

    Hi All,          I want to know the problems facing in Uploading Xternal file in BDC. Its very Urgent . Points will be rewarded to good ans. Bye.

  • Can't rearrange photos in album

    In iPhoto08, I am unable to rearrange photos in an Album. What do I need to do?

  • MPLS-VPN in Campus Network

    Hi,           Can anyone advise me how to migrate a existing non-mpls (nortel/3com) network to an mpls network. Any pdf or doc file. Thanks, Noor