DBMS_PIPE : NOT DECLARED ERROR 발생 시

제품 : PL/SQL
작성날짜 : 1996-10-30
DBMS_PIPE NOT DECLARED ERROR 발생 시
====================================
발생원인 1)
DBMS_PIPE는 oracle install시에 $ORACLE_HOME/rdbms/admin/catproc.sql을
실행함으로써 생성되도록 되어 있는 stored package이다.
다른 stored package(DBMS_OUTPUT....)등은 생성직후 sys를 owner로한
stored package에 대해 public synonym을 생성한 후 바로 이 synonym에
대해 public에게 execute previlege가 grant되지만 DBMS_PIPE는 생성만
되도록 되어 있기 때문에 사용하고자 하는 user에게 별도의 grant작업이
필요하다.
-> 확인사항 및 해결 방법
1) sqldba에서
select * from dba_objects where object_name = 'DBMS_PIPE';
를 수행하여 DBMS_PIPE에 관한 자료가 sys가 owner인 package
spec,package body,synonym만 나타나야 한다.
2) sqldba에서
grant execute on DBMS_PIPE to user_name;
을 실행하여 해당 user에게 grant한다.
발생원인 2)
DBMS_PIPE는 sys가 owner이어야 한다.
user의 실수로 system이나 다른 별도의 user로 connect하여 catproc.sql을
실행한 경우에 발생한다.
-> 확인사항 및 해결방법
1) sqldba에서
select * from dba_objects where object_name = 'DBMS_PIPE';
를 수행하여 DBMS_PIPE에 관한 자료가 sys나 public이 아닌 다른
user가 owner로 되어 있는 DBMS_PIPE object를 drop시킨다.
2) sqldba에서
grant execute on DBMS_PIPE to user_name;
을 실행하여 해당 user에게 grant한다.

Your comments are inappropriate.
First of all, documentation links outside Oracle might not contain the correct documentation, as Oracle fixes documentation bugs silently.
Secondly, you should not post links to desupported release. You seem to have assumed the 8.1.5 solution still applies to newer releases, which is correct in this case but not necessarily in all cases.
Finally in the time you took to flame Saubhik you could have helped someone else out.
Sybrand Bakker
Senior Oracle DBA

Similar Messages

  • Varray of Objects "Bind variable not declared" error.. I don't want a bind variable.

    Hello.
    This program is supposed to pull values from a table using a loop, and in the loop, put the values in objects in a varray.  I'm new to objects and am stumped trying to get this program to run.  When I attempt to run it in SQL*Plus  I get the following feedback:
    Type created.
    Type body created
    SP2-0552: Bind variable "MY_VARRAY_EMP1" not declared.
    I don't think I even need a bind variable.  Any feedback would be appreciated.  Here's the program:
    -- Enable screen I/O
    SET SERVEROUTPUT ON SIZE 1000000
    SET VERIFY OFF
    -- begin object spec
    CREATE OR REPLACE TYPE employee3 AS OBJECT
      ename CHAR (20 char),
      empno NUMBER (4),
      sal NUMBER (10),
      MEMBER FUNCTION get_ename RETURN CHAR, MEMBER PROCEDURE set_ename (SELF IN OUT NOCOPY employee3),
      MEMBER FUNCTION get_empno RETURN NUMBER, MEMBER PROCEDURE set_empno (SELF IN OUT NOCOPY employee3),
      MEMBER FUNCTION get_sal RETURN NUMBER, MEMBER PROCEDURE set_sal (SELF IN OUT NOCOPY employee3)
    -- begin object body
    CREATE OR REPLACE TYPE BODY employee3 AS
      -- gets
      MEMBER FUNCTION get_ename RETURN CHAR IS
      BEGIN
      RETURN self.ename;
      END;
      MEMBER FUNCTION get_empno RETURN NUMBER IS
      BEGIN
      RETURN self.empno;
      END;
      MEMBER FUNCTION get_sal RETURN NUMBER IS
      BEGIN
      RETURN self.ename;
      END;
      -- sets
      MEMBER PROCEDURE set_ename(SELF IN OUT employee3) IS
      BEGIN
      self.ename := ename;
      END;
      MEMBER PROCEDURE set_empno(SELF IN OUT employee3) IS
      BEGIN
      self.empno := empno;
      END;
      MEMBER PROCEDURE set_sal(SELF IN OUT employee3) IS
      BEGIN
      self.sal := sal;
      END;
    END;
    DECLARE
      TYPE emp_varray IS VARRAY(10) OF EMPLOYEE3;
      my_varray_emp1 EMP_VARRAY;
      -- List of EMPNO's in order of appearance in EMP table (for cross-referencing, single-line retrieval)
      TYPE MYCREF_VARRAY IS VARRAY(10) OF NUMBER(4);
      varray_mycref MYCREF_VARRAY := MYCREF_VARRAY(NULL,NULL,NULL,NULL,NULL,NULL,NULL,NULL,NULL,NULL);
      this_object EMPLOYEE3;
      -- make a variable to store one empno
      thisno NUMBER(4);
      -- make a counter
      counter INT;
      -- query variables for the set calls
      q_ename CHAR(20 CHAR);
      q_empno NUMBER(4);
      q_sal NUMBER(10);
      my_result INT;
    BEGIN
      --my_varray_emp1 := EMP_VARRAY(NULL,NULL,NULL,NULL,NULL,NULL,NULL,NULL,NULL,NULL);
      -- Put the first 10 EMPNO's in my cref array
      SELECT empno BULK COLLECT INTO varray_mycref FROM emp WHERE ROWNUM < 11;
      -- Use a loop to retrieve the first 10 objects in the "emp" table and put them in the varray of objects
      q_ename := NULL;
      q_empno := NULL;
      q_sal := NULL;
      my_result := NULL;
      this_object := NULL;
      counter := 1;
      FOR counter IN 1..10 LOOP
      thisno := varray_mycref(counter);
      this_object := my_varray_emp1(counter);
      SELECT ename INTO q_ename FROM emp WHERE empno = thisno;
      my_result := this_object.set_ename(q_ename, NULL);
      SELECT empno INTO q_empno FROM emp WHERE empno = thisno;
      my_result := this_object.set_empno(q_empno, NULL);
      SELECT sal INTO q_sal FROM emp WHERE empno = thisno;
      my_result := this_object.set_sal(q_sal, NULL);
      END LOOP;
      -- Use another loop to display the information in the reverse order.
      FOR counter in REVERSE 1..10 LOOP
      this_object =: my_varray_emp1(counter);
      dbms_output.put_line((this_object.get_ename()) || CHR(9) || (this_object.get_empno()) || CHR(9) || (this_object.get_sal()));
      END LOOP;
    END;

    Cleaning up your code for errors and eliminating unnecessary complexity...
    Add a user-defined constructor which takes all attributes and calls the "setter" procedures in one trip:
    -- Enable screen I/O
    set SERVEROUTPUT on size 1000000
    set VERIFY off
    -- begin object spec
    create or replace type employee3 as object
      ename CHAR (20 char),
      empno NUMBER (4),
      sal NUMBER (10),
    constructor function employee3(
        self    in out nocopy    employee3,
        aEname    in        char,
        aEmpNo    in        integer,
        aSal    in        number
      return self as result,
      member function get_ename return CHAR, member procedure set_ename (SELF in out nocopy employee3, ename in char),
      member function get_empno return NUMBER, member procedure set_empno (SELF in out nocopy employee3, empno in integer),
      member function get_sal return NUMBER, member procedure set_sal (SELF in out nocopy employee3, sal in integer)
    -- begin object body
    create or replace type body employee3 as
      constructor function employee3(
        self    in out nocopy    employee3,
        aEname    in        char,
        aEmpNo    in        integer,
        aSal    in        number
      return self as result
      is
      begin
        self.set_ename(aEname);
        self.set_empno(aEmpNo);
        self.set_sal(aSal);
        return;
      end;
      -- gets
      member function get_ename return CHAR is
      begin
      return self.ename;
      end;
      member function get_empno return NUMBER is
      begin
      return self.empno;
      end;
      member function get_sal return NUMBER is
      begin
      return self.sal;
      end;
      -- sets
      member procedure set_ename(SELF in out employee3, ename in char) is
      begin
      self.ename := ename;
      end;
      member procedure set_empno(SELF in out employee3, empno in integer) is
      begin
      self.empno := empno;
      end;
      member procedure set_sal(SELF in out employee3, sal in integer) is
      begin
      self.sal := sal;
      end;
    end;
    (Since I don't have EMP handy at the moment, create a simple view instead)
    create or replace view emp
    as
    select    'EMP' || to_char(level) ename
    ,    level + 100 empno
    ,    DBMS_RANDOM.VALUE(25000,75000) sal
    from    DUAL
    connect by
        level <= 20
    Get rid of your loop and individual SELECTs, and replace it with a single SELECT BULK COLLECT INTO...
    declare
      type emp_varray is varray(10) of EMPLOYEE3;
      my_varray_emp1 EMP_VARRAY;
      this_object EMPLOYEE3;
    begin
      -- No need for a loop. Use SELECT BULK COLLECT INTO, together with a user-defined constructor call (since the
      -- user-defined constructor overrides the default constructor we need to call it using named-parameter notation):
      select    new employee3(
                aEname    => e.ename,
                aEmpNo    => e.empno,
                aSal    => e.sal
      bulk collect into
            my_varray_emp1
      from        emp e
      where        rownum <= 10;
      -- Use another loop to display the information in the reverse order.
      for counter in reverse 1..10 loop
      this_object := my_varray_emp1(counter);
      dbms_output.put_line((this_object.get_ename()) || chr(9) || to_char(this_object.get_empno()) || chr(9) || to_char(this_object.get_sal()));
      end loop;
    end;
    EMP10        
    110    60110
    EMP9         
    109    67485
    EMP8         
    108    58242
    EMP7         
    107    47597
    EMP6         
    106    58995
    EMP5         
    105    49098
    EMP4         
    104    47406
    EMP3         
    103    67574
    EMP2         
    102    59663
    EMP1         
    101    52929
    PL/SQL procedure successfully completed.
    Gerard

  • SP2-0552: Bind variable not declared error. Any help please?

    Hi Experts,
    I have a question regarding the error that I am getting: SP2-0552: Bind variable "V_COUNT_TOT_BAL" not declared.
    I have 'out' parameters declared in my procedure and executing the same from sql script as shown below:
    set ver off
    set serverout on
    set linesize 8000
    Declare
    Variable v_count_dtl_bal NUMBER(10);
    Variable v_updat_dtl_bal NUMBER(10);
    Variable v_count_tot_bal NUMBER(10);
    Begin
    execute load_abc.insert_abc_bal(:v_count_dtl_bal,:v_updat_dtl_bal,:v_count_tot_bal);
    End;
    exit;
    So, when this sql script runs it given me the above error. However, all the result looks good and there's no problem with the data or anything else that might be impacted. I suspect this error stems from the code in the sql script above.
    Any idea what am I doing wrong?
    Thanks in advance for any inputs.

    Thanks Frank. I still receive the same error if I follow your example or any of the ones explained above. This is what I am getting and still an error underneath:
    Usage: VAR[IABLE] [ <variable> [ NUMBER | CHAR | CHAR (n [CHAR|BYTE]) |
              VARCHAR2 (n CHAR) | NCHAR | NCHAR (n) |
              NVARCHAR2 (n) | CLOB | NCLOB | REFCURSOR |
              BINARY_FLOAT | BINARY_DOUBLE ] ]
    Usage: VAR[IABLE] [ <variable> [ NUMBER | CHAR | CHAR (n [CHAR|BYTE]) |
              VARCHAR2 (n CHAR) | NCHAR | NCHAR (n) |
              NVARCHAR2 (n) | CLOB | NCLOB | REFCURSOR |
              BINARY_FLOAT | BINARY_DOUBLE ] ]
    Usage: VAR[IABLE] [ <variable> [ NUMBER | CHAR | CHAR (n [CHAR|BYTE]) |
              VARCHAR2 (n CHAR) | NCHAR | NCHAR (n) |
              NVARCHAR2 (n) | CLOB | NCLOB | REFCURSOR |
              BINARY_FLOAT | BINARY_DOUBLE ] ]
    SP2-0552: Bind variable "V_COUNT_TOT_BAL" not declared.

  • Element is not declared error both in BizTalk 2013 and AX 2012 R2

    Hi:
    We continue getting “element is not declared” in BizTalk and AX.
    Appreciate any help and hints.
    Regards, Toraj
    Background:
    We have BizTalk 2013 and AX2012 R2.
    From BizTalk Suspended Job
    System.ServiceModel.FaultException: <s:Envelope xmlns:s="http://www.w3.org/2003/05/soap-envelope" xmlns:a="http://www.w3.org/2005/08/addressing"><s:Header><a:Action
    s:mustUnderstand="1">http://schemas.microsoft.com/dynamics/2008/01/services/PurchaseInvoiceService/createAifFaultFault</a:Action><a:RelatesTo>urn:uuid:ddd18935-18a7-415d-bbbf-38e4b9a08bf7</a:RelatesTo><a:To s:mustUnderstand="1">http://www.w3.org/2005/08/addressing/anonymous</a:To></s:Header><s:Body><s:Fault><s:Code><s:Value>s:Sender</s:Value></s:Code><s:Reason>
    <s:Text xml:lang="en-US">Invalid document schema. The following error was returned: 
    The 'http://schemas.microsoft.com/dynamics/2008/01/documents/PurchaseInvoice:LedgerJournalTable' element is not declared.</s:Text>
    </s:Reason><s:Detail><AifFault xmlns="http://schemas.microsoft.com/dynamics/2008/01/documents/Fault"
    xmlns:i="http://www.w3.org/2001/XMLSchema-instance"><CustomDetailXml i:nil="true"></CustomDetailXml><FaultMessageListArray i:nil="true"></FaultMessageListArray><InfologMessageList
    From AX Exception Log
    The 'http://schemas.microsoft.com/dynamics/2008/01/documents/PurchaseInvoice:LedgerJournalTable' element is not declared.
    The BizTalk WCF-NetTcp > Configuration > Action as follows. Which matches the published WSDL
    <BtsActionMapping xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xmlns:xsd="http://www.w3.org/2001/XMLSchema">
    <Operation Name="create" Action="http://schemas.microsoft.com/dynamics/2008/01/services/PurchaseInvoiceService/create" />
    </BtsActionMapping>
    The following is the XML from BizTalk to AX. I deleted some of the data to shorten the xml.
    <ns1:PurchaseInvoice xmlns:ns1="http://schemas.microsoft.com/dynamics/2008/01/documents/PurchaseInvoice" xmlns:ns0="http://schemas.microsoft.com/dynamics/2008/01/sharedtypes">
    <ns1:LedgerJournalTable action="create">
    <ns1:_DocumentHash>45f5770e-0ee5-496c-a290-176a16e78b7c</ns1:_DocumentHash>
    <ns1:Approver>0</ns1:Approver>
    <ns1:BankAccountId>0</ns1:BankAccountId>
    <ns1:BankRemittanceType>None</ns1:BankRemittanceType>
    <ns1:CurrencyCode>USD</ns1:CurrencyCode>
    <ns1:CurrentOperationsTax>Current</ns1:CurrentOperationsTax>
    <ns1:CustVendNegInstProtestProcess>OpenPaym</ns1:CustVendNegInstProtestProcess>
    <ns1:DefaultDimension>
    <ns0:Values><ns0:Value>
    <ns0:Name></ns0:Name>
    <ns0:Value>0</ns0:Value>
    </ns0:Value></ns0:Values>
    </ns1:DefaultDimension>
    <ns1:DetailSummaryPosting>Detail</ns1:DetailSummaryPosting>
    <ns1:DocumentNum>P049368</ns1:DocumentNum>
    <ns1:EndBalance>0.00</ns1:EndBalance>
    <ns1:ExchRate>0.00</ns1:ExchRate>
    <ns1:ExchrateSecondary>0.00</ns1:ExchrateSecondary>
    <ns1:FixedExchRate>Yes</ns1:FixedExchRate>
    <ns1:FixedOffsetAccount>Yes</ns1:FixedOffsetAccount>
    <ns1:GroupBlockId>
    </ns1:GroupBlockId>
    <ns1:InUseBy></ns1:InUseBy>
    <ns1:JournalBalance>1573.91</ns1:JournalBalance>
    <ns1:JournalName>APINV</ns1:JournalName><ns1:JournalTotalDebit>1573.91</ns1:JournalTotalDebit>
    <ns1:LedgerJournalTrans>
    <ns1:CurrencyCode>... LedgerJournalTrans Deleted for Simplicitsy </ns1:CurrencyCode>
    </ns1:LedgerJournalTrans>
    <ns1:DocuRefTable />
    <ns1:LedgerJournalTable_W />
    </ns1:LedgerJournalTable>
    </ns1:PurchaseInvoice>
    Toraj [email protected]

    Hi:
    After working on this off and on for a few weeks,
    I just posted the following Technical Blog / article for solution. Happy reading.
    BizTalk 2013 and AX2012 R2 Receive Services Interface -
    http://torajkhavari.blogspot.com/2015/01/title-biztalk2013-and-ax2012-r2-receive.html
    Regards,Toraj
    Toraj [email protected]

  • I'm getting a 'The Management Pack element is not declared' error when trying to import CSV data into my *extended* WindowsComputer class

    Background:
    I have a class called SUS_WindowsComputerMP, that is an extension of the Microsoft class, Microsoft.Windows.Computer
    I'm trying to import CSV data into this extended class and to the base class as well.
    Question:
    What am I doing wrong? I have a feeling that the Import CSV Format file is different for importing data into *extended* classes like mine, because the XML structure below would work for non-extended classes.
    "...Creating new CSVImporter
    Data Filename: D:\Peter\CMDB II\Exported MPs\TestMPs\SUS_WindowsComputer.csv
    Format Filename: D:\Peter\CMDB II\Exported MPs\SUS_WindowsComputerMP.xml
    Validating against XSD schema...
    The 'ManagementPack' element is not declared.
    Validation completed.
    Format file D:\Peter\CMDB II\Exported MPs\SUS_WindowsComputerMP.xml contains an invalid root element. Expected: root node with name \"CSVImportFormat\"
    Could not initialize a Management Object Creator from format file D:\Peter\CMDB II\Exported MPs\SUS_WindowsComputerMP.xml. Import thread exiting.
    My import format XML is this:
    <CSVImportFormat>
    <Class Type="ClassExtension_a3ae3e0f_d578_43dc_aa3e_9037a094763c" >
    <Property ID="WindowsServerID" />
    <Property ID="PrincipalName" />
    <Property ID="NetbiosComputerName" />
    <Property ID="IPAddress" />
    <Property ID="NetbiosDomainName" />
    <Property ID="DNSName" />
    <Property ID="OSVersionDisplayName" />
    <Property ID="SerialNo" />
    <Property ID="ServerDescription" />
    <Property ID="AssetTagNo" />
    <Property ID="ServerNameRow" />
    <Property ID="ChassisType" />
    <Property ID="InstallDate" />
    <Property ID="IsVirtualMachine" />
    <Property ID="BusinessUnitCustomersEnum" />
    <Property ID="RegionLocationEnum" />
    <Property ID="OtherFunctionRoleEnum" />
    <Property ID="ProductTypeEnum" />
    <Property ID="ObjectStatus" />
    <Property ID="AssetStatus" />
    <Property ID="CriticalityEnum" />
    <Property ID="EnvironmentEnum" />
    <Property ID="CostCodeClassEnum" />
    <Property ID="DataClassificationEnum" />
    <Property ID="Manufacturer" />
    </Class>
    </CSVImportFormat>

    Hello,
    Can anyone please help me out with this weird issue.
    thanks,
    orton

  • Table not declared error even though I'm able to view it in database

    Hi,
    I'm trying to compile a form with the following code in a when button pressed trigger.I get the error that Trust_tab should be declared even though I am able to view the contents in sql plus. Why is it?
    REGISTER_BLK.TRUST_CODE:=:GLOBAL.TRUSTCODE;
    declare
    m number;
    begin
    if CHECKBOX_CHECKED('REGISTER_BLK.CHK_BETWEEN_DATES') =true and (:REGISTER_BLK.date_from is null or :REGISTER_BLK.date_to is null )THEN
    if :REGISTER_BLK.date_from is null then
    m:=show_alert('alert61');
    -- message('Please Enter Date');
    previous_item;
    go_item('register_blk.date_from');
    raise form_trigger_failure;
    elsif :REGISTER_BLK.date_to is null then
    -- message('Please Enter Date');
    m:=show_alert('alert61');
    previous_item;
    go_item('register_blk.date_to');
    raise form_trigger_failure;
    end if;
    else
    DECLARE
    n number;
    PL_ID PARAMLIST;
    CONDITION VARCHAR2(500);
    CHANGED_MODE_TYPE VARCHAR2(20);
    MODECODE NUMBER;
    TRUSTNAME VARCHAR2(60);
    TNAME VARCHAR2(60);
    TRUST_CODE VARCHAR2(1);
    MODE_TYPE VARCHAR2(15);
    DATE_FROM DATE;
    DATE_TO DATE;
    CONDITION1 VARCHAR2(200);
    MAXDATE DATE;
    BEGIN
    IF NOT Id_Null(get_parameter_list('ABC')) THEN
    Destroy_Parameter_List('ABC');
    END IF;
    PL_ID:=create_parameter_list('ABC');
    IF
    CHECKBOX_CHECKED('REGISTER_BLK.CHK_BETWEEN_DATES')= TRUE
    --THEN
    and :REGISTER_BLK.date_to is not null
    and :REGISTER_BLK.date_from is not null then
    DATE_FROM:=:REGISTER_BLK.DATE_FROM;
    DATE_TO :=:REGISTER_BLK.DATE_TO;
    SELECT TRUST_NAME INTO TRUSTNAME FROM TRUST_TAB
    WHERE TRUST_CODE=:REGISTER_BLK.TRUST_CODE;
    :REGISTER_BLK.TNAME:=TRUSTNAME;
    CONDITION:= ' HAVING DV.TRUST_CODE = '|| :REGISTER_BLK.TRUST_CODE ||
    ' AND MAX(MAXDATE) >= ' ||''''||TO_CHAR(:REGISTER_BLK.DATE_FROM,'DD-MON-YY')||'''' ||
    ' AND MAX(MAXDATE) <= ' ||''''||TO_CHAR(:REGISTER_BLK.DATE_TO,'DD-MON-YY')||'''';
    -- MESSAGE(CONDITION);
    Add_parameter('ABC','paramform',TEXT_parameter,'no');
    Add_parameter('ABC','P_CODE',TEXT_PARAMETER,:REGISTER_BLK.TRUST_CODE);
    Add_parameter('ABC','P_datefrom',TEXT_PARAMETER,:REGISTER_BLK.DATE_FROM);
    Add_parameter('ABC','P_dateto',TEXT_PARAMETER,DATE_TO);
    --Add_parameter('ABC','WHERE_CLAUSE',TEXT_PARAMETER,CONDITION);
    --Add_parameter('ABC','HAVING_CLAUSE',TEXT_PARAMETER,CONDITION1);
    Run_Product(REPORTS,'new_rec2.rdf',ASYNCHRONOUS,RUNTIME,
    FILESYSTEM,PL_ID,NULL);
    ELSIF
    CHECKBOX_CHECKED('REGISTER_BLK.CHK_BETWEEN_DATES') = FALSE
    --THEN
    or :REGISTER_BLK.date_to is null
    or :REGISTER_BLK.date_from is null then
    n:=show_alert('alert62');
    previous_item;
    go_item('REGISTER_BLK.CHK_BETWEEN_DATES');
    --raise form_trigger_failure;
    -- MESSAGE('Select atleast One Option for Generation of Report');
    -- MESSAGE('Select atleast One Option for Generation of Report');
    RAISE FORM_TRIGGER_FAILURE;
    END IF;
    END;
    end if;

    Guess you are connected with wrong schema..
    have you test with another test button ,simply just count the record into local
    vraible with sql in another test button??
    DECLARE
      cnt    NUMBER;
    BEGIN
    SELECT COUTN(*) INTO cnt
      FROM  trust_tab;
    message(cnt);
    END;If the same prob persist check yours connectivity from where you are connected.
    Khurram

  • BPEL SCA: XML-20129: (Error) Namespace prefix 'ui' used but not declared.

    SOASuite 11g
    Oracle Weblogic Server 10.3.3
    Oracle Solaris on SPARC (64-bit) 10
    Database: Oracle Server - Enterprise Edition 10.2.0.5
    When I start the SOA domain server, I find the following in the log file:
    <Feb 25, 2011 10:41:23 AM CET> <Error> <org.apache.commons.digester.Digester> <BEA-000000> <Parse Error at line 9 column 79: <Line 9,
    Column 79>: XML-20129: (Error) Namespace prefix 'ui' used but not declared.
    org.xml.sax.SAXParseException: <Line 9, Column 79>: XML-20129: (Error) Namespace prefix 'ui' used but not declared.
    at oracle.xml.parser.v2.XMLError.flushErrorHandler(XMLError.java:422)
    at oracle.xml.parser.v2.XMLError.flushErrors1(XMLError.java:287)
    at oracle.xml.parser.v2.NonValidatingParser.parseDocument(NonValidatingParser.java:342)
    at oracle.xml.parser.v2.XMLParser.parse(XMLParser.java:226)
    at org.apache.commons.digester.Digester.parse(Digester.java:1785)
    at oracle.fabric.composite.Parser.parseComposite(Parser.java:132)
    at oracle.integration.platform.kernel.WLSFabricKernelInitializer.deployComposite(WLSFabricKernelInitializer.java:493)
    at oracle.integration.platform.kernel.WLSFabricKernelInitializer.prepareCompositeDeployments(WLSFabricKernelInitializer.java:
    239)
    at oracle.integration.platform.kernel.WLSFabricKernelInitializer.init(WLSFabricKernelInitializer.java:127)
    at javax.servlet.GenericServlet.init(GenericServlet.java:241)
    at weblogic.servlet.internal.StubSecurityHelper$ServletInitAction.run(StubSecurityHelper.java:283)
    at weblogic.security.acl.internal.AuthenticatedSubject.doAs(AuthenticatedSubject.java:321)
    at weblogic.security.service.SecurityManager.runAs(SecurityManager.java:121)
    at weblogic.servlet.internal.StubSecurityHelper.createServlet(StubSecurityHelper.java:64)
    at weblogic.servlet.internal.StubLifecycleHelper.createOneInstance(StubLifecycleHelper.java:58)
    at weblogic.servlet.internal.StubLifecycleHelper.<init>(StubLifecycleHelper.java:48)
    at weblogic.servlet.internal.ServletStubImpl.prepareServlet(ServletStubImpl.java:539)
    at weblogic.servlet.internal.WebAppServletContext.preloadServlet(WebAppServletContext.java:1976)
    at weblogic.servlet.internal.WebAppServletContext.loadServletsOnStartup(WebAppServletContext.java:1950)
    at weblogic.servlet.internal.WebAppServletContext.preloadResources(WebAppServletContext.java:1869)
    at weblogic.servlet.internal.WebAppServletContext.start(WebAppServletContext.java:3126)
    at weblogic.servlet.internal.WebAppModule.startContexts(WebAppModule.java:1512)
    at weblogic.servlet.internal.WebAppModule.start(WebAppModule.java:486)
    at weblogic.application.internal.flow.ModuleStateDriver$3.next(ModuleStateDriver.java:425)
    at weblogic.application.utils.StateMachineDriver.nextState(StateMachineDriver.java:41)
    at weblogic.application.internal.flow.ModuleStateDriver.start(ModuleStateDriver.java:119)
    at weblogic.application.internal.flow.ScopedModuleDriver.start(ScopedModuleDriver.java:200)
    at weblogic.application.internal.flow.ModuleListenerInvoker.start(ModuleListenerInvoker.java:247)
    at weblogic.application.internal.flow.ModuleStateDriver$3.next(ModuleStateDriver.java:425)
    at weblogic.application.utils.StateMachineDriver.nextState(StateMachineDriver.java:41)
    at weblogic.application.internal.flow.ModuleStateDriver.start(ModuleStateDriver.java:119)
    at weblogic.application.internal.flow.StartModulesFlow.activate(StartModulesFlow.java:27)
    at weblogic.application.internal.BaseDeployment$2.next(BaseDeployment.java:1267)
    at weblogic.application.utils.StateMachineDriver.nextState(StateMachineDriver.java:41)
    at weblogic.application.internal.BaseDeployment.activate(BaseDeployment.java:409)
    at weblogic.application.internal.EarDeployment.activate(EarDeployment.java:58)
    at weblogic.application.internal.DeploymentStateChecker.activate(DeploymentStateChecker.java:161)
    at weblogic.deploy.internal.targetserver.AppContainerInvoker.activate(AppContainerInvoker.java:79)
    at weblogic.deploy.internal.targetserver.BasicDeployment.activate(BasicDeployment.java:184)
    at weblogic.deploy.internal.targetserver.BasicDeployment.activateFromServerLifecycle(BasicDeployment.java:361)
    at weblogic.management.deploy.internal.DeploymentAdapter$1.doActivate(DeploymentAdapter.java:51)
    at weblogic.management.deploy.internal.DeploymentAdapter.activate(DeploymentAdapter.java:200)
    at weblogic.management.deploy.internal.AppTransition$2.transitionApp(AppTransition.java:30)
    at weblogic.management.deploy.internal.ConfiguredDeployments.transitionApps(ConfiguredDeployments.java:240)
    at weblogic.management.deploy.internal.ConfiguredDeployments.activate(ConfiguredDeployments.java:169)
    at weblogic.management.deploy.internal.ConfiguredDeployments.deploy(ConfiguredDeployments.java:123)
    at weblogic.management.deploy.internal.DeploymentServerService.resume(DeploymentServerService.java:180)
    at weblogic.management.deploy.internal.DeploymentServerService.start(DeploymentServerService.java:96)
    at weblogic.t3.srvr.SubsystemRequest.run(SubsystemRequest.java:64)
    at weblogic.work.ExecuteThread.execute(ExecuteThread.java:201)
    at weblogic.work.ExecuteThread.run(ExecuteThread.java:173)
    >
    My composite.xml is:
    <composite name="JIPSYHandleRTOTaskEBF" revision="1.0" mode="active" state="on"
    xmlns="http://xmlns.oracle.com/sca/1.0"
    xmlns:ui="http://xmlns.oracle.com/soa/designer/"^M
    xmlns:xs="http://www.w3.org/2001/XMLSchema">^M
    <import location="GetTimeoutPeriod.wsdl" namespace="http://xmlns.oracle.com/pcbpel/adapter/db/GetTimeoutPeriod/"/>
    <service ui:wsdlLocation="JIPSYHandleRTOTaskEBF.wsdl" name="client">
    </composite>
    But when it has been deployed, I see that this has been changed into:
    <composite name="JIPSYHandleRTOTaskEBF" revision="1.0" xmlns:xs="http://www.w3.org/2001/XMLSchema">
    <import location="GetTimeoutPeriod.wsdl" namespace="http://xmlns.oracle.com/pcbpel/adapter/db/GetTimeoutPeriod/"/>
    <service ui:wsdlLocation="JIPSYHandleRTOTaskEBF.wsdl" name="client">
    </composite>
    (I exported this from MDS).
    Apparently, some namespaces are stripped.
    This appears to happen during the deploy (using ant), because the version in the generated jar file is already missing the namespaces.
    The BPEL processes appear to work fine though.
    Why is this happening and how can I prevent these errors?

    I've fiddled around with the file composite.xml and found the following workaround:
    If I change the start of the file to:
    <?xml version="1.0" encoding="UTF-8"?>
    <composite name="JIPSYUpdateWorkflowReqABCSImpl"
    xmlns="http://xmlns.oracle.com/sca/1.0"
    xmlns:ui="http://xmlns.oracle.com/soa/designer/"
    xmlns:xs="http://www.w3.org/2001/XMLSchema"
    revision="1.0"
    mode="active"
    state="on">
    it remains unchanged and the errors during deployment are gone.

  • Error:  Namespace prefix 'split-by-page-break' used but not declared.

    I have my machine reimaged, now when I try to preview an rtf template I get the below error. Nothing has changed in my template and I am using 1.0.0 Build 9 as before my reimage as well. This is an uregent problem that I am not able to find the solution for on my own. Please advise with any help.
    Caused by: oracle.xdo.parser.v2.XPathException: Namespace prefix 'split-by-page-break' used but not declared.
         at oracle.xdo.parser.v2.XSLProcessor.reportException(XSLProcessor.java:782)
         at oracle.xdo.parser.v2.XSLProcessor.newXSLStylesheet(XSLProcessor.java:564)
         ... 14 more
    Thanks

    I'm just new to XMLP and am receiving the same error.. My error is occuring when trying to use sub-templates in my master template.
    I believe I have the syntax correct:
    To import template file:
    <?import:file:h:///CN_LTR_TEMPLATES.rtf?>
    to call the sub-template:
    <?call-template: signature:?>
    Its the call-template to signature thats generating my error message.. My only thought is that its not calling my import properly..
    Anyway.. Hope this might help you a bit.. you never mentioned anything about using a sub-templates. Perhaps since you formated your computer, your template may be in a different location or gone all together (that is if you are using sub-templates).
    If i figure anything out in the mean time, i'll let you know..
    Edited by: user8682333 on Aug 16, 2009 6:51 AM

  • Duplex print setup error - Namespace prefix 'section' used but not declared

    Hi All, I am getting the below error while trying to preview as PDF document. The issue seems to be caused by adding the below to form field in the rtf template (removing the below i can view the PDF document but it does not work as expected ie printing the terms and conditions to back of the page)
    <?section:force-page-count;'end-on-even-layout'?>
    Error::
    Caused by: oracle.xdo.parser.v2.XPathException: Namespace prefix 'section' used but not declared.
         at oracle.xdo.parser.v2.XSLProcessor.reportException(XSLProcessor.java:782)
         at oracle.xdo.parser.v2.XSLProcessor.newXSLStylesheet(XSLProcessor.java:564)
         ... 15 more
    FYI: I have been using the below link as example
    http://blogs.oracle.com/xmlpublisher/2007/10/here_are_my_terms_conditions.html
    Also reviewed the following link too apart from reading the user guide
    http://winrichman.blogspot.com/search/label/BI%20Publisher%20Last%20page%20continued
    Thanks, Maha

    Hi Maha,
    I have used the <?section:....?> command a few times, and I think it has to be enclosed within a <?for-each@section:.....?> loop. If you are still having issues, then upload your template and sample XML somewhere and I can try to take a look for you.
    Regards,
    Cj

  • Compiler Error Message: BC30451: Name 'UserEmail' is not declared.

    Have the message when I test the page. Anyone sugest a
    solution please?
    Compiler Error Message: BC30451: Name 'UserEmail' is not
    declared.
    Page code below.
    <%@ Page Language="VB" ContentType="text/html"
    ResponseEncoding="iso-8859-1" %>
    <%@ Register TagPrefix="MM" Namespace="DreamweaverCtrls"
    Assembly="DreamweaverCtrls,version=1.0.0.0,publicKeyToken=836f606ede05d46a,culture=neutra l"
    %>
    <MM:DataSet
    id="dsUsers"
    runat="Server"
    IsStoredProcedure="false"
    ConnectionString='<%#
    System.Configuration.ConfigurationSettings.AppSettings("MM_CONNECTION_STRING_conSQLPerene lle")
    %>'
    DatabaseType='<%#
    System.Configuration.ConfigurationSettings.AppSettings("MM_CONNECTION_DATABASETYPE_conSQL Perenelle")
    %>'
    CommandText='<%# "SELECT dbo.Users.UserEmail,
    dbo.Users.UserPassword FROM dbo.Users WHERE
    dbo.Users.UserEmail=@UserEmail AND
    dbo.Users.UserPassword=@UserPassword" %>'
    Expression='<%# IsPostBack %>'
    Debug="true"
    ><Parameters>
    <Parameter Name="@UserEmail" Value='<%#
    IIf((Request.Form("UserEmail") <> Nothing),
    Request.Form("UserEmail"), "") %>' Type="NVarChar" />
    <Parameter Name="@UserPassword" Value='<%#
    IIf((Request.Form("UserPassword") <> Nothing),
    Request.Form("UserPassword"), "") %>' Type="NVarChar" />
    </Parameters></MM:DataSet>
    <MM:PageBind runat="server" PostBackBind="true" />
    <script language="VB" runat="server">
    Protected Sub Page_Load(ByVal Src As Object, ByVal E As
    EventArgs)
    ' Don't cache this page.
    Response.Expires = -1
    Response.AddHeader("Pragma", "no-cache")
    Response.AddHeader("cache-control", "no-store")
    ' Check user credentials entered on the page
    If (UserEmail.Value =
    dsUsers.FieldValue("UserEmail",Nothing))
    &&(UserPassword.Value =
    dsUsers.FieldValue("UserPassword",Nothing)) Then
    ' The user has been authenticated.
    ' 1. Create the authentication ticket.
    ' 2. Redirect to the appropriate page.
    ' 1. Create the authentication ticket.
    ' Create and use the forms authentication ticket.
    FormsAuthenticationTicket ticket = New
    FormsAuthenticationTicket(1,
    Request.Form("UserEmail"),
    DateTime.Now, ' issue time
    DateTime.Now.AddMinutes(30), ' expires in 30 minutes
    False, ' not persistent
    Dim ' role assignment gets stored in the UserData As
    "Users")
    ' Create a new (encrypted) HttpCookie using the ticket just
    created
    ' and name it accordingly to the value specified in the
    <forms> element
    ' in the web.config file.
    Dim cookie As HttpCookie = New
    HttpCookie(FormsAuthentication.FormsCookieName,FormsAuthentication.Encrypt(ticket))
    ' Add the cookie to the outbound response.
    Response.Cookies.Add(cookie)
    ' Redirect as appropriate.
    Dim ReturnUrl As String
    If Request.QueryString("ReturnURL") Is Nothing Then
    ReturnUrl = "/client-area/index.aspx"
    Else
    ReturnUrl = Request.QueryString("ReturnURL")
    End If
    Response.Redirect(ReturnUrl)
    Else
    Msg.Text = "Invalid Credentials: Please try again"
    End If
    End Sub
    </script>

    Answered myself. JUst named the imput fields incorrectly.
    Couple of other problems also but sorted and now working
    fine...

  • When opening XHTML page, getting error message "Attribute ....space not declared for element 'pre' "

    Hi all,
    We are trying to use XHTML to author some of our documentation.  The plan is to use FrameMaker to convert the docs to PDF format.
    The input file contains the following offending line:
    <pre xml:space="preserve">
    This should be allowed according to the www.w3.org/1998/xhtml spec.
    The actual FM log message is:
    Message: Attribute '{http://www.w3.org/XML/1998/namespace}space' is not declared for element 'pre'
    Any hints?  I am using Frame Maker 9, by the way.
    Thanks,
    Frances

    In case anyone is interested the base solution given by dvohra09 can be expanded to solve the problems.
    (1) It appears the parser raises errors against any unknown attributes, including xmlns:xxx="...". Solution is either to declare them in the dtd element you would like them to appear in (as in answer from dvohra09 ).
    (2) You have to explicitly force the tags in the DTD into the correct namespace using prefixs to ensure the correct ones are picked up , e.g.:
       <!ELEMENT nstag:mytag (mysubtag+)>
       <!ATTLIST nstag:mytag   xmlns:nstag CDATA #FIXED  "http://www.someOtherURI.com/xxx">
    you then have to refer to the tag as <nstag:mytag>.
    This doesn't appear to be how the XML/namespace specs (or the slideshow example) say it should be. They imply (1) should silently declare the new namespace prefix, and (2) should work without prefixes because the DTD filename is used as an explicit namespace.

  • Syntax Error "Method was not declared or inherited in class"

    Dear all,
    I am seeing the following syntax error in one of the standard classes CL_ICCMP_BT_INRHISTRESULT_CN01 which says "Method "XYZ" was not declared or inherited in class". Need help to identify when this error would occur or where I should be checking for this error within the class.
    I checked other systems for the same class, the method XYZ does not exist there too but still the class has no syntax errors!
    Would appreciate your help!
    Thank you.
    Regards,
    Gayathri.

    Hi Gayathri,
    please give detailed information on how and where you get this error.
    CL_ICCMP_BT_INRHISTRESULT_CN01 does not exist in our ECC600 system.
    Regards,
    Clemens

  • ERROR: serializable class HelloComponent does not declare a static final

    Hi everyone! I'm sorry but I'm a newbie to Java and I'm having some, I assume, basic problems learning to compile and run with javac. Here is my code:
    import javax.swing.* ;
    import java.awt.* ;
    public class MyJava {
    * @param args
    public static void main(String[] args)
    // TODO Auto-generated method stub
    JFrame frame = new JFrame( "HelloJava" ) ;
    HelloComponent hello = new HelloComponent() ;
    frame.add( hello ) ;
    frame.setSize( 300, 300 ) ;
    frame.setVisible( true ) ;
    class HelloComponent extends JComponent
    public void paintComponent( Graphics g )
    g.drawString( "Hello Java it's me!", 125, 95 ) ;
    And here is the error:
    1. WARNING in HelloJava.java
    (at line 20)
    class HelloComponent extends JComponent {
    ^^^^^^^^^^^^^^
    The serializable class HelloComponent does not declare a static final serialVersionUID field of type long
    ANY HELP WOULD BE GREAT! THANKS! =)

    Every time I extend GameLoop, it gives me the warning
    serializable class X does not declare a static final serialVersionUID field of type long
    This is just a warning. You do not need to fix this because the class you are writing will never be serialized by the gaming engine. If you really want the warning to go away, add the code to your class:
    static final long serialVersionUID=0;

  • Error during transport: Method is not declared + Component missing

    HI
    I got 2 errors when I transport:
    Error 1:
    Program ZCL_ZGW_VH_ORDER_DETAI_DPC_EXTCP, Include ZCL_ZGW_VH_ORDER_DETAI_DPC_EXTCO: Syntax error in line 000003
    Method 'DETSET_GET_ENTITY' is not declared or inherited in class 'ZCL_ZGW_VH_ORDER_DETAI_DPC_EXT'. -
    Error 2:
    Program ZCL_ZGW_VH_ORDER_DE_01_DPC====CP, Include ZCL_ZGW_VH_ORDER_DE_01_DPC====CM006: Syntax error in line 000190
    The data object 'LS_ET_DETAILS' does not have a component called 'ZZ_MATR_LEV_DATO'.
    1)
    When I go to Class Builder (SE24), and make a syntax check for ZCL_ZGW_VH_ORDER_DETAI_DPC_EXT, I got the error:
    Class ZCL_ZGW_VH_ORDER_DETAI_DPC_EXT,Protected section
    Method "DETSET_GET_ENTITY" is not declared or inherited in class
    "ZCL_ZGW_VH_ORDER_DETAI_DPC_EXT". -
    The code looks like this
    protected section.
      methods DETSET_GET_ENTITY
        redefinition .
    2)
    If I go to include ZCL_ZGW_VH_ORDER_DE_01_DPC====CM006 (in SE38), I am navigated to method: DETSET_GET_ENTITYSET (That was the missing method in error 1). When I do a syntax check, I got the error message:
    Class ZCL_ZGW_VH_ORDER_DE_01_DPC,Method DETSET_GET_ENTITYSET
    The data object "LS_ET_DETAILS" does not have a component called
    "ZZ_MATR_LEV_DATO".
    Then I double click at ls_gw_et_details-zz_matr_lev_dato, and got the data statement: DATA ls_gw_et_details LIKE LINE OF et_entityset.
    When I double click at et_entityset, I see that the et_enityset is type ZCL_ZGW_VH_ORDER_DE_01_MPC=>TT_ORDDET. Now I double click at TT_ORDDET I got the public section, where I can see that ZZ_MATR_LEV_DATO is already specified.
         ZZ_SPORSP_DATO type D,
         ZZ_KSTROM type STRING,
         ZZ_KSTROM_DATO type D,
         ZZ_MATR_LEV_DATO type D,
      end of TS_ORDDET. .
      types:
    TT_ORDDET type standard table of TS_ORDDET. .
    Anyone who will help me?
    Thanks
    Lars

    Hi Ron Sargeant,
    I'm having a kind of similar issue. I have 3 entities, they were already mapped to theirs respective RFC. In the RFC, a couple of fields changed their name so the mapping got lost. I did again the mapping and there was no errors on 2 of the 3 entities. For other reasons, I had to delete the third entitiy, I deleted it and created it again and there was no problems, I do the mapping and everything was apparently fine, when I tried to "Generate Runtime Objects" it throws me an error with the next message: "Failed to create data provider class". If I try to Generate the service again, it throws me another error message: "The class ZCL_xxx_xx_xxxxxx_DPC is locked. Process canceled". I'm sure I've not edited or deleted the DPC class. If I try to access the DPC class from the tx SE24 it tells me that the object it's not created, and the class still appears in the list of Runtime Artifacts in tx SEGW.
    I'd like to know if you've got any idea of what may happen, or I'd also like to be sure that if I delete the DPC class and the DPC_EXT class, it will be regenerated again by the Service Builder.
    Best regards,
    Ana Velásquez

  • MachineExceptions.h:245: error: declaration does not declare anything

    I've been having a terrible time trying to compile something and have worked through most issues, but running into this error:
    /System/Library/Frameworks/CoreServices.framework/Frameworks/CarbonCore.framewor k/Headers/MachineExceptions.h:245: error: declaration does not declare anything
    What little there is on the web seems to indicate that there's something perhaps messed up with vtk on intel macs? Or perhaps something else. I also can't seem to compile vtk from source at all, so couldn't fix it that way.
    Anyone have any suggestions?
    Mac Pro   Mac OS X (10.4.9)   8-core, x1900 vid

    Hi Jeff,
    > Well, geometric algebra need not encompass the
    whole of Clifford algebras in order to be a development
    in the field.
       Of course I'm not saying that Geometric Algebra must contain the entirety of the theory of Clifford Algebras in order to be a development in the area. I'm saying that it must leave the confines of that area to be a development. I'm sure you've encountered the word "subset" in the math you've already studied. There is nothing in the definition of a subset about how much of the parent set that the subset should contain. The only requirement of a subset is that it cannot leave the confines of the parent set. I don't care how much of the original theory is omitted, I'm just saying that there exists nothing outside of the original theory.
    > Are you saying the work being done is entirely redundant
    and should perhaps be abandoned for what's already
    been done in studying Clifford algebras and their
    generalizations?
       Now you're addressing the meaning of my statements; "Entirely redundant" does characterize a subset so yes, that is what I'm saying. However, you then read in additional meaning. No, I'm not saying that it should be abandoned. I tried to devote half of the original post to saying that a rose by any other name ...
    > Perhaps it's just the way you express yourself, but
    this topic does seem like it hits a nerve with you
       You are correct. I excluded my perception of plagiarism from the set of statements that I claim to be factual but it's difficult to hide my strong emotional response to that. I agree with everything you say about reformulations but there are right ways and wrong ways to do that. Consider the following search, Google search: Clifford site:geometricalgebra.org, for the word "Clifford" on their website. The only places it appears is in source code and then it is merely a reference to a conjugation operator. There is no mention of Clifford Algebras on their website. The right way would be to state the situation up front.
       I apologize for inflicting my emotional baggage on you. All I really wanted to do is to warn you. You have exactly the right attitude. I envy the sense of wonder and discovery that you now enjoy and the last thing I want to do is to dampen that. In fact, what I would most like to convey is that that feeling shouldn't diminish as you continue. What I fear and want to prepare you for is that when you are ready to branch out and learn the math, it will likely be more difficult than it should be because they haven't given the math foundation that you would need. My fear is that you might get the impression that the math itself is more difficult than it is. I don't want frustration to hinder your efforts to learn.
       You have a great attitude now. I'm convinced that you sense the power and the beauty of the subject. As long as you resist frustration and continue to learn, you should be fine. I assure you that the subject is worth any and all effort that you put into it.
    Gary
    ~~~~
       Before borrowing money from a friend,
       decide which you need more.
             -- Addison H. Hallock

Maybe you are looking for

  • Can't see external drive for movie import

    Hi gang, I have all of my iMovie projects on an external hard drive plugged directly by Firewire 2 into my MacPro.  When I run iMovie 9.09, I get a beautiful sorted list of all of my projects by year.  When I run iMovie 10.0.5., I can't even see the

  • I want to setup a Disaster Recovery Server for Sharepoint Server 2010

    There are four production server running sharepoint server 2010 and one SQL server for ContentDB management.

  • Lightroom 5 disappears with CC Install

    It would appear that my licensed copy of Lightroom 5, which I downloaded online, has disappeared with the install of CC.  In my dock I have a question mark on the Lightroom icon.  And installing from CC asks for a license number.  Is there a way to r

  • ICal Subscriptions on iPad/iphone?

    Hi everyone, I am trying to understand why the US Holiday Subscription won't sync with my ipad/phone in iCal. I read somewhere that with Mobile ME this wasn't possible. Has that changed with the new version? Does anyone have a work around? Thanks! -K

  • Displaying a calculated item

    Hi, I am using Designer 6i for generating forms. I have a module componet with some items, I display 5 rows at a time In the same module component, I have an unbound item taht is calculated based on a sum of one of the others items. My problem is tha