EM simulation problem

Hi,
I have been trying to solve this EM simulation problem in the attached project (from a customer) but with no luck. I have tried changing the interpolation/passivity options, switching to APLAC simulations, different AXIEM settings with/without AFS, #sim points, DC frequency in the EXTRACT block etc but the simulation just wouldn't complete without error. The consistent error is 'Vector fitting failure' for which I could find no help. I am sure there is something I'm missing here, would someone be kind enough to take a quick look and tell me how to go about solving this problem ? 
Thank you!
Attachments:
LNA_grndpr_finfab1_toAWR.zip ‏94 KB

Hi,
I am looking into this issue right now. The ports are set up wrong on your extracted document, so I am trying to figure out a way to set them up correctly. I will get back to you once I find out more.
Thanks,
Ideen

Similar Messages

  • Digital Simulation Problem, Xspice vs. VHDL

    Hello EWB friends.
    I am currently experiencing a problem with a mixed mode/digital simulation.  The main problem is that the component that I am trying to simulate, a differential rs-485/422 interface transceiver, isn't commonly modeled using xspice syntax.  Instead manufacturers and engineers that work with these interface components offer models written in VHDL (I suppose this is because creating this component in xspice is difficult/insufficient).  This transceiver converts a differential analog rs-485/22 input signal to a TTL (0-5v digital) output and vice versa.
    I am using Multisim V.10.  The good news is that Multisim v. 10 supports mixed mode simulation using components modeled in VHDL.  The bad news is that NI has discontinued Multi VHDL, which assembles the VHDL model into the .vx file format that is required for simulation with multisim.  This is a problem for me; I understand that Multi VHDL v.8 works fine with Multisim v.10.  Well that’s great and all, but NI does not sell Multi VHDL .8 anymore, it has been discontinued.
    After weighing out my options I see two possible solutions to my problem.  One is to obtain a copy of Multi VHDL v.8 some how, or have someone who owns the discontinued software to convert my VHDL model to .vx format for me.  Two would be to design an Xspice sub circuit for this component (possibly a very slow and painful process). 
    I have noticed that some digital components are modeled using HDL and/or Xspice syntax; other components are only modeled using HDL (due to complexity).  I have read and reviewed the Xspice user manual and examined its primitives.  From what I understand the component that I am trying to simulate could be created as an xspice sub circuit, although most/all interface components are simulated with HDL.  Does anyone know the limitations of xspice and what digital components are impractical to simulate using xspice? (Besides the obvious like MCU's and FPGA's)  Or could anyone with Multi VHDL v.8 help me out and convert this file to .vx format?
    This has been an on going simulation problem for me.  I use analog interface to digital covertering drivers/receivers in the majority of my circuits and cannot simulate them using multisim.  Any input, advice, or help would be greatly appreciated.
    Here is the VHDL model that I am trying to assemble to a .vx file / trying to translate into xspice syntax.  I converted it as a .txt so it could be posted, was originally a .vhd.
    Thanks,
    SPM
    Attachments:
    if490.txt ‏7 KB

    I have Mutlisim 10 and under the simulation tab there is VHDL Simulation. Apparently in mine it is only a demo, but you can create VHDL components. I tried compiling your file, but it tells me that it is too large for the demo. It also says this " Better yet, purchase the fully function simulator by calling your local Electronics Workbench reseller".
    "For information about how to purchase the Multisim VHDL simulator, visit the Electronics Workbench home page at http://www.electronicsworkbench.com"
    The version number says 5.15a. This sounds like it may be old and not what your after. It problably would be best to contact NI or look on their website to see what may be available. It may be that you can purchase this as an add-on for Multisim 10, but I am not certain of that.
    Sorry I couldn't help more.
    Kittmaster's Component Database
    http://ni.kittmaster.com
    Have a Nice Day

  • Rectifier simulation problem in multisim

    Hi, i've got a problem with my simulation results in Multisim. Why does it cut the voltage to <100V after the rectifier (see attached image)? I think on this computer is an old version installed but thats should be no reason for such behaviour.
    When i build the rectifier out of 4 discrete diodes, it works.
    Best regards,
    hase
    Attachments:
    multisim.PNG ‏39 KB

    Hase,
    I checked the spice model for the rectifier that you are using and got it to work. I attached the picture that shows which variable you have to modify, it was set to 100V... that is why you would get that fuzzy thing going on... according to the datasheet it should work up to 1000V right?...
    How to fix it.... double-click on the component... then from the value tab, click on the Edit Model button, and then on the spice text scroll down until you see the variable that is shown on the attached picture, you should see that it shows 100, change it to 1000. And then click on Change Part Model to finish. Give that a try, it should work.
    Nestor
    National Instruments
    Attachments:
    rectifier.JPG ‏23 KB

  • IOS Simulator problem

    I'm using the latest OS version OS Mountain Lion, and the latest xCode version. My problem is, when I use xCode, to test iOS Simulator, ios simulator won't run. The program will open but it will freeze. I have been searching for solutions but no luck. Can someone help me please.  Thank You.

    Maybe you should be posting here:
    https://discussions.apple.com/community/developer_forums

  • Simulator problem

    Hi all,
    I faced some problems with the simulator.
    I am practicing the java applets according to the java card developement kit user's guide.
    But I got the problem below.
    C:\JavaCard\java_card_kit-2_2\samples\classes>converter -config ..\src\com\sun\j
    avacard\samples\wallet\Wallet.opt
    Java Card 2.2 Class File Converter (version 1.3)
    Copyright 2002 Sun Microsystems, Inc. All rights reserved. Use is subject to lic
    ense terms.
    conversion completed with 0 errors and 0 warnings.
    C:\JavaCard\java_card_kit-2_2\samples\classes>scriptgen -o Wallet.scr ..\..\clas
    ses\com\sun\javacard\samples\wallet\javacard\wallet.cap
    Unaccessable: ..\..\classes\com\sun\javacard\samples\wallet\javacard\wallet.cap
    C:\JavaCard\java_card_kit-2_2\samples\classes>cd..
    C:\JavaCard\java_card_kit-2_2\samples>cd src\demo
    C:\JavaCard\java_card_kit-2_2\samples\src\demo>scriptgen -o Wallet.scr ..\..\cla
    sses\com\sun\javacard\samples\wallet\javacard\wallet.cap
    Java Card 2.2 APDU Script File Builder (version 0.11)
    Copyright 2002 Sun Microsystems, Inc. All rights reserved.
    APDU script file for CAP file download generated.
    C:\JavaCard\java_card_kit-2_2\samples\src\demo>jcwde 90250 jcwde.app
    usage: jcwde [-help] [-p port] [-nobanner] [-version] configfile
    C:\JavaCard\java_card_kit-2_2\samples\src\demo>apdutool -nobanner -notar demo1.s
    cr > demo1.scr.jcwde.out
    Invalid flag -notar.
    usage: apdutool [-h hostname] [-nobanner] [-noatr] [-o outputFile] [-p port] [-s
    serialPort ][-version] [inputFile ...]
    C:\JavaCard\java_card_kit-2_2\samples\src\demo>apdutool -nobanner -noatr demo1.s
    cr > demo1.scr.jcwde.out
    java.net.ConnectException: Connection refused: connect
    What is the Connection refused?
    May I know what is the steps to make the example run successfully?
    Sorry if this question already posted before.
    If you don't mind pls give me some guide.
    Your response is highly appreciated!
    Thank you in advance.
    Warmest regards,
    Alice

    Hi Joseph,
    I guess what you mean is there is something wrong to the command below:
    C:\JavaCard\java_card_kit-2_2\samples\src\demo>jcwde 90250 jcwde.appusage: jcwde [-help] [-p port] [-nobanner] [-version] configfile
    I tried the command below, but got another error:
    C:\JavaCard\java_card_kit-2_2\samples\src\demo>jcwde -p 90250 jcwde.app
    Java Card 2.2 Workstation Development Environment (version 0.18).
    Copyright 2002 Sun Microsystems, Inc. All rights reserved.
    jcwde is listening for T=0 Apdu's on TCP/IP port 90,250.
    java.lang.IllegalArgumentException: Port value out of range: 90250
    Exception on TCP/IP socket port # : 90250
    jcwde terminating on receipt of SimulationException. See previous messages for
    cause.
    com.sun.javacard.jcwde.SimulationException
    *********************************************************Sorry, I am very new in java card, so might need a little bit more explanation if you don't mind.
    Thank you in advance!

  • Price Simulation problem for SA - Simulation Quanity

    Hi Experts,
    I have a problem when simulating the price for an SA which is of type Consignment.When I select the Item and click on Item -> Price Simulation in the Simulation Quanity its showing a different value, where as it should be 1 I guess.
    For all other SA of similar types its showing Simulation Quantity as 1 where for a particular SA it giving different values, I have checked the info record but the value maintained is 1000. In EKPO-ABMNG its showing the value which is populated in the Simulation Quantity pop window.
    Any pointers how this EKPO-ABMNG field is populated or the reason why its populating a different value for a particular SA.
    Thanks.
    Lahari

    In price simulation, proposed is from release order qty (EKPO-ABMNG). This quantity
    is determined from the standard quantity maintained in info record.
    For scheduling agreement, if release order qty (EKPO-ABMNG) is saved as 100   this is same quantity, which is proposed in price simulation as default value. You can change this default quantity to carry out the pricing for changed quantity.

  • Routing simulation problem

    Hello,
    I've got to code a simulation of a routing algorithm in a wireless network. Can anyone help?
    Thanx

    Well what would you like?
    Advice about where to start? answer: http://www.google.nl
    You want us to write the code for you? you can forget that, so don't even ask.
    You want somebody to join your project? Start a sourceforge project.
    You'll have to be a bit more specific as to what your problem is if you want to get help.

  • Real Time Simulation, problem with the 3D Lookup table

    Hello,
    I have a problem with LabVIEW RT. I want to read under the parameters of temperature, time and voltage, the current out of a matrix.
    The matrices differ only in the temperature (first entry in a matrix).
    If the 3D Lookup table only get one matrix, I often get "Not a Number" as result. But not always, although the parameters remain the same.
    If the 3D Lookup table gets three or more matrices, the result never be "Not a Number". But the 2 other matrices are "dummys" filled up with zeros, expect the temperature. Also the problem appears only if I start the test sequence as Startup on the RT-System. If I start the test sequence from the Front Panel, the 3D Lookup table works well, whether one or more than three matrices!
    Its confusing, I know ^^
    The appendices contains pictures from a matrix an from the Block Diagram
    Attachments:
    Block DIagram.jpg ‏146 KB
    Current-Matrix.png ‏27 KB
    Dummy-Matrix.png ‏12 KB

    Hello salomon,
    The 3D lookup table is not generated in my code, but is invoked as a constant. I examine the behavior of electrical loads in the cars. Consumers were in a climate chamber measured at various temperatures and these values ​​were packed into a 3D lookup.
    Helps you even further? : D

  • Simple LED Circuit Simulation problem?

    Hello, and I recently started to use Multisim so that I can design circuits using schematics and then trying them out on the protoboard. However something is confusing me from the simulation. 
    So on a real protoboard with 5V and a 330 ohm resistor and ground, I can connect a green LED and it will turn on. Obviously if I take out the resistor my led will burn out and it won't work anymore. 
    On Multisim, If I add a 5V VCC, a green LED and ground (no resistor), and when i start the simulator, it turns on. I'd expect the LED to be off since a direct voltage of 5V is not supported for an LED. 
    Is the simulator suppose to behave this way or how will I be able to tell if some circuits got burned? 

    I do not know how Multisim's LED is modeled. Many models do not include "burn out" factors. Since diodes have exponential current-voltage relationships, you may find that the LED is drawing several amperes at 5 V.
    Most simulation models work well when the devices are operated within their normal ranges but may not be at all realistic when outside those ranges.  For example some op amp models can produce output voltages far in excess of the power supply voltages, which real physical circuits cannot do.  It is important to determine the limitations of the models when evaluating the quality of a simulation.
    In many cases the only way to detect burnout is to calculate the power dissipated in the device and compare that to its rating.
    Lynn

  • Vivado 2015_1 FIFO simulation problem

    i generated distributed fifo.
    in sim folder i have this file 
    the place this module - fifo_generator_v12_0
    i cant find this module for simulation. i have a folder with that name but without a sumulation file.
    in the simulation folder (of fifo generator v_12) i have only "fifo_genrator_vlog_beh" file.
    how i can run this fifo simulation
     

    Hi,
    Check the below article http://www.xilinx.com/support/answers/61035.html
    You can use the below command to report all IP files necessary for simulation
    get_files -compile_order sources -used_in simulation -of_objects [get_files <IP_Name>.xci]
    Thanks,
    Deepika.

  • ISIM behavioral simulation problem

    Hello, I have following problem. There is a module with two inputs and one output. Is input clock signals and a long (relative to frequency) asynchronous strobe. In identifying the strobe (0 to 1), the modules must be put to the output pulse of a long period of frequency.
    I have implemented this module as follows:
    library IEEE;
    use IEEE.STD_LOGIC_1164.ALL;
    use IEEE.STD_LOGIC_ARITH.ALL;
    use IEEE.STD_LOGIC_UNSIGNED.ALL;
    entity pulse_gen is
    port (
    CLK : in std_logic := '0';
    STROBE : in std_logic := '0';
    PULSE : out std_logic := '0'
    end entity pulse_gen; -- pulse_gen
    architecture Behavioral of pulse_gen is
    begin
    generation : process( CLK )
    variable strt_pulse : std_logic := '0';
    variable prev_strobe : std_logic := '0';
    begin
    if (rising_edge(CLK)) then
    --STROBE 0-to-1 catching part
    if (prev_strobe = '0' and STROBE = '1') then
    prev_strobe := '1';
    strt_pulse := '1';
    end if;
    if (prev_strobe = '1' and STROBE = '0') then
    prev_strobe := '0';
    end if;
    --STROBE 0-to-1 catching part end
    --PULSE driver
    if (strt_pulse = '1') then
    strt_pulse := '0';
    PULSE <= '1';
    else
    PULSE <= '0';
    end if ;
    end if ;
    end process ; -- generation
    end architecture ; -- Behavioral
    and I am using it for this test:
    LIBRARY ieee ;
    USE ieee.std_logic_1164.all ;
    USE ieee.std_logic_arith.all ;
    ENTITY tv_sync_gen_tb IS
    END ;
    ARCHITECTURE tv_sync_gen_tb_arch OF tv_sync_gen_tb IS
    SIGNAL CLK : STD_LOGIC := '0' ;
    SIGNAL STROBE : STD_LOGIC := '0' ;
    SIGNAL PULSE : STD_LOGIC := '0' ;
    COMPONENT pulse_gen
    PORT (
    CLK : in STD_LOGIC ;
    STROBE : in STD_LOGIC ;
    PULSE : out STD_LOGIC
    END COMPONENT ;
    BEGIN
    CLOCKING:
    CLK <= not CLK after 5 ns;
    FRAME_STROBE:
    STROBE <= '1' after 55 ns,
    '0' after 125 ns;
    DUT : pulse_gen
    PORT MAP (
    CLK => CLK ,
    STROBE => STROBE ,
    PULSE => PULSE
    END ;
    the result was the following timing diagram:
    But if I implement modules little different then getting another temporary diagram.
    library IEEE;
    use IEEE.STD_LOGIC_1164.ALL;
    use IEEE.STD_LOGIC_ARITH.ALL;
    use IEEE.STD_LOGIC_UNSIGNED.ALL;
    entity pulse_gen is
    port (
    CLK : in std_logic := '0';
    STROBE : in std_logic := '0';
    PULSE : out std_logic := '0'
    end entity pulse_gen; -- pulse_gen
    architecture Behavioral of pulse_gen is
    signal strobe_interconnection : std_logic := '0';
    begin
    strobe_intcon:
    strobe_interconnection <= STROBE;
    generation : process( CLK )
    variable strt_pulse : std_logic := '0';
    variable prev_strobe : std_logic := '0';
    begin
    if (rising_edge(CLK)) then
    --STROBE 0-to-1 catching part
    if (prev_strobe = '0' and strobe_interconnection = '1') then
    prev_strobe := '1';
    strt_pulse := '1';
    end if;
    if (prev_strobe = '1' and strobe_interconnection = '0') then
    prev_strobe := '0';
    end if;
    --STROBE 0-to-1 catching part end
    --PULSE driver
    if (strt_pulse = '1') then
    strt_pulse := '0';
    PULSE <= '1';
    else
    PULSE <= '0';
    end if ;
    end if ;
    end process ; -- generation
    end architecture ; -- Behavioral
    PS .: What literature I should read to avoid similar errors?

    Clearly you have a race condition.  Your first case changes the strobe and clock lines at exactly the same time including delta time.  That's why it doesn't delay the pulse like you see in the second case.  If strobe is a synchronous input, it should be changed only 1 delta delay (or more) after the rising clock edge in the test bench.  If strobe is an asynchronous input, then your code will not work correctly in hardware because the prev_strobe variable is being compared to an asynchronous input signal, and therefore the time when one is high and the other low can become infinitesimally small when the input signal changes just before the clock edge.

  • Network Simulation problem !

    Can anyone explain HOW and WHY the devices on the image ( PC,Routers,Hubs and Servers) are connected with each other in that way and with those kind of cables ? I have an assignment to similarly connect three cities with the simulator but at first I must understand this given example network . I'll appreciate anyone who can help me and explain this network in detail ( especially the cables that are used to connect the devices ) . I apologise if I made any grammatical or vocabulary errors . I'm waiting for any helpful replies :)

    The answer is there in the picture!
    Red cable between the 2 routers is WAN serial
    Black cable making an Ethernet connection from routers to hubs and then hubs to PC's and server's.
    Dashed blue cable console connection to configure the routers from PC's.
    If you are connecting three cities you would need some kind of WAN connection. Using serial is older technology now. Most modern WAN solutions would be presented as Ethernet but I guess it depends what services are available in your country! This would connect to a router.
    The LAN side would normally always be Ethernet so a hub/switch onsite to connect up PC's and server's.
    Hope that helps!
    Matty

  • 8310 simulator problem

    Hi All,
    For test my application I downloaded blackberry simulator 8310 & visual studio plugin. i installed the softwares but i am not able to test my application in Black berry simulator, what are the steps we have to follow for testing Visula studio applications in BB.
    It is very urgent to me...........
    Thanks,

    any suggestion ? anybody ?

  • Simulation problem

    I'm asking about wrong SW after Simulation processing.
    First I wrote a .scr file run with SW=0x9000 with jcwde
    but after converting to .cap file and generating an APDU script file by using scriptgen iused this file as input to the apdutool while running cref but the in the output file the SW becomes equal SW1: 64, SW2: 44, this is in creating the applet, and all APDUs also return with SW = 0x9000, I hope to give me a solution for that, and I will be thankfull.

    I think you forget add header and trailer in *.scr file
    you NEED scr file be like this IF U USE "CREF",(no need if use jcwde)
    // start of scr file
    powerup;
    // Select the installer applet
    0x00 0xA4 0x04 0x00 0x09 0xa0 0x00 0x00 0x00 0x62 0x03 0x01 0x08 0x01 0x7F;
    // 90 00 = SW_NO_ERROR
    //text from scriptgen
    original text
    original text
    original text
    original text
    //end of text from scriptgen
    // "create" with correspond AID
    // that u specific at converter command
    // below is use AID of SecureRMIDemo example for run //SecureRMIDemo
    0x80 0xB8 0x00 0x00 0x0c 0x0a 0xa0 0x00 0x00 0x00 0x62 0x03 0x01 0xc 0xa 0x01 0x00 0x7F;
    powerdown;

  • Pipeline simulation problem

    Ok, the deal is we are supposed to take a text file, parse it into a fetch stage, parse the data itself into an operand fetch stage, then throw it into an execute stage before storing it. I have the two fetch stages working, what I am having trouble with is the excution stage.
    And, it's kind of stupid really. I know I should be able to wrap my brain around this but, ugh.
    This is a sample file we are supposed to use for input...
    SUB R1 R1 R1
    ADDI R1 R1 9
    SUBI R2 R1 6
    SHLI R2 R2 4
    SHRI R1 R1 1
    AND R3 R1 R2
    MUL R3 R1 R2
    OR R4 R3 R1
    DIV R5 R4 R1
    ADD R5 R4 R2
    I have the instruction set stored and primed to go. I have the values in an array. See, the program is supposed to read the instruction, for example, ADD and, using the three things after, set up the equation to (using the last one as an example)
    R5 = R4 + R2 (so it should be something like R1 = 7+6)
    Then it's a simple,
    System.out.println("R1 equals " + R1);and everyone is happy.
    I know I have to initialize R1 to 0 but what I don't know how to do is translate the value in the array to the actual number that it is supposed to be from the math itself without loosing it's placement.
    If anyone has any suggestions or would like to see the actual code first, I would be most grateful for any help you can give me.

    I might have some ideas but I'm a little unclear on where you are stuck. So if I have totally gone off the rails here just bear with me.
    In pseudo code let's say I have two lists (or arrays). One is a list of instructions and the second is a list of "registers" or buckets to hold values.
    Let's take a look at the following list of instructions.
    1) Set register 1 to 5
    2) Set register 2 to -3
    3) Set register 3 to 4
    4) Multiply register 1 by 2 and store it in register 4
    5) Add register 4 and 2 and store in 5
    6) Subtract register 3 from from 5 and store in 6
    7) Print 6
    So the register list looks like this.
    1) Set register 1 to 5
    5 0 0 0 0 0 0
    2) Set register 2 to -3
    5 -3 0 0 0 0
    3) Set register 3 to 4
    5 -3 4 0 0 0
    4) Multiply register 1 by 2 and store it in register 4
    5 -3 4 10 0 0
    5) Add register 4 and 2 and store in 5
    5 -3 4 10 7 0
    6) Subtract register 3 from from 5 and store in 6
    5 -3 4 10 7 3
    7) Print 6
    3
    I dunno.

Maybe you are looking for

  • WORKAROUND/SOLVED: Kernel panic on Macbook Air 5,2 on Lion 10.7.5 on wake

    Hi all. I had been getting plagued by kernel panics with my relatively new Macbook Air (which I otherwise have had no issues with) when I did the following in sequence: While connected to a power supply, lock screen Close the lid Disconnect power sup

  • Does this help your "user did not respond" problem?

    Here’s what was going on. If I call a buddy #1 > I get the “user did not respond”. If I call buddy #2 > I get “user did not respond”. However, If I call buddy #3 it works right away, no problems what so ever. This was a constant problem, the same res

  • Where to see the user maintenance activity log (creation,deletion..)?

    Hi, SDN Fellows. This should be a Basis question. But I only able to find this category (Netweaver AS) to write this post. Our current user management engine is taken the user data source from the ABAP System (CRM system). I know that I can do the us

  • RSM 078- Job terminated in Source System - Request set to Red

    Hi, We upgraded our system from BW 3.5 to BI 7. Now when we load DELTA from one ODS to another ODS(within BW) through process chain i get above error message. I manually deleted this requests from receiving ODS and repeated the delta and it works fin

  • UseBean and Class Not Found

              I am using Weblogic 5.1 on a Win2000 System.           If I try to use the useBean tag in a JSP I get an ClassNotFoundException when           the JSP is parsed. If I load the object with a simple session.getAttribute() call           it wo