How to set time delay in javascript for indesign cs2?

while am running the js, am using function date.getMonth, date.getDate()...
this function produce the error, which return the function as error message,
if i set alert before running this every time , am not getting the errror
how to solve this?
thanks in advance
subha

Dear Subhaoviya
Please use the below coding and get your date and Time functions
var today = new Date();
var myDate = today.getDate();
Thanks & Regards
T.R.Harihara SudhaN

Similar Messages

  • Script exe for indesign cs2 is possible?

         i wrote a javascript for indesign cs2 application, user move that to script folder and run it.
    sometimes they edit the script for their own, it makes some problem.
    is it possible to make the script in exe format.
    by using Extend toolkit2 if i make it as binary format indesign cs3 accepts it, but the script not view in cs2 palette...
    how to solve it?
    thanks
    subha

    the scripts for Indesign can be exported as a binary format using the ExtendScript toolKit..
    This might prevent users from modifying them.
    However this jsxbin format can only be used in CS3 and CS4.
    Mayb u may consider upgrading to CS3 / CS4..
    hope this helps..

  • How to set a delay on Autocomplete component?

    How to set a delay on Autocomplete component so that the completion method will only be invoked when users stop typing for some time. Otherwise, there are too many unnecessary server requests.

    Hi,
    You can use shortDesc property. Something like
    <af:commandToolbarButton text="Some Button"
          id="ctb1" shortDesc="This button does something.."/>-Arun

  • Where can I find a video that tells me how to set time on photos to 1 second each?

    where can I find a video that tells me how to set time on photos to 1 second each? Where can I find a video that tells me how to add black space to the sides of all photos so that they display completely instead of only showing the center (which shows peoples' crotches but cuts off their heads- great huh?)? Would like to import whole folders of photos, set each to 1 second, have each display completely without distorting to fill. and "play" the timeline as I build it so that I can see if its working before putting in 2000 pics?

    Hello Cain,
    You can see the Domestic Outbound Scenario (DOT) for how to use incompatibility scenario.
    You can find the Customizing guides at SAP Support Poratl.
    Regards
    Nitesh

  • How to set a default colour & thickness  for rectangle in preview?

    How to set a default colour & thickness for rectangle(annotation) in preview ? Because every time that I choose for example red and thickness 1 it reverts to orange and 3 next time .

    Doesn't appear to be a default setting. Peruse the webpage for Secrets at http://secrets.blacktree.com/ for a possible setting.

  • How to set a new SCSI number for a second drive?

    How to set a new SCSI number for a second drive?
    Walter

    Walter,
    This web site gives a good diagram:
    http://www.hitachigst.com/hdd/support/dpes/dpesjum.htm
    On a Quantum hard disk drive - they were the original equipment manufacturer (OEM) hard drive provider for Apple, you will see three or four pairs of pins on the green circuit board. They are labled:
    AO . .
    A1 . .
    A2 . .
    If no pins are connected, you have SCSI ID Zero This was the standard setting from the factory.
    If you short out the pins beside AO .__. , you have designated SCSI ID One.
    If you short out the pins beside A1 .__. , you have designated SCSI ID Two.
    If you short out the pins beside A2 .__. , you have designated SCSI ID Four.
    These values can be added together to get other SCSI IDs. If you have a jumper beside AO AND A1, that will add up to SCSI ID Three.
    Some of the quantum drives used tiny black plastic jumpers with tiny metal contacts. I have yet to find a store that sells the tiny ones. I get my larger jumpers from old PC motherboards that are being thrown away. A small piece of aluminum foil will work as a jumper in a pinch. Just make sure it soed not fall off and short something else out!
    Jim
    PS
    CD-ROM drives are set at the factory as ID 3. Internal drives are set at ID 0. I set my external SCSI drive at SCSI 1 so it does not conflict with the internal drive. Internal Zip drives are set at ID 5 and external Zip drives let you choose between 5 and 6. I set my burners at ID 4. Come up with a master plan for your devices like scanners and burners. Apple System Profiler will give you info on the device ID. If the computer fails to boot, turn off all but one SCSI device and make sure of its ID. Then check each subsequent device by powering up only one device at a time while booting from a CD at ID #3.

  • In Forms, how to set time to control editng?

    hi guys, Im doing a data entry module.This module used to encode our daily transactions. Sometimes, there are editing/updating of data that happen everyday. My problem is that, i want to control this editng everday to lessen some data problem. My question is how to set time in an oracle forms to control this everyday editing or updating. I want to their editing/updating allow only during the date they encode that particular transactions.
    Can anybody help me solve this problem. Thank you!
    Lala

    Do you want to get the current date?
    You can use SYSDATE for that (getting the database-date) or SYSTEM.CURRENT_DATETIME (getting the OS-date)

  • How to set the short cut key for buttons

    Hi
    I dont know how to set the short cut keys for the button...can u pls help me out

    Do you mean a short cut key for buttons at client side (web browser)? If yes, you could do it with JavaScript~~~ ^o^

  • How to set the number of rings for the agent phone rings before it get the not prepared state

    hi, how to set the number of rings for the agent phone rings?  before it get the not prepared state.
    thanks

    The following assumes that you are using ICM with an IPIVR etc (not using CVP), as the answer is different for CVP
    What you are looking for is called "Ring no answer time".  It is set in the Agent Desk Setting List tool.
    Regards,
    Kevin

  • How to set input delay and output delay when source Synchronous

    ClkIN is the board clock which is connected to the FPGA. Clkif is the generated clock from ClkIN. The Device's clk come from Clkif. So, how to set input delay and output delay in this scene(within my understand, this is Source Synchronous)?
    The example in many document, the input delay and output delay setting all refer to board clock(within my understand, this is System Synchronous). In that scene, the input delay max = TDelay_max + Tco_max; input delay min = Tdelay_min + Tco_min; the output delay max = Tdelay_max + Tsu; output delay min = Tdelay_min - Th.
    So, I want to know how to set input/output delay in the Source Synchronous.
    In system synchronous, I set input/output delay such as:
    create_clock -period 20.000 -name ClkIN -waveform {0.000 10.000} [get_ports ClkIN]
    create_generated_clock -name Clkif -source [get_pins cfg_if/clk_tmp_reg/C] -divide_by 2 [get_pins cfg_if/clk_tmp_reg/Q]
    create_clock -period 40.000 -name VIRTUAL_clkif //make virtual clock
    set_input_delay -clock [get_clocks VIRTUAL_clkif] -min 0.530 [get_ports DIN]
    set_input_delay -clock [get_clocks VIRTUAL_clkif] -max 7.700 [get_ports DIN]
    set_output_delay -clock [get_clocks VIRTUAL_clkif] -min -0.030 [get_ports DOUT]
    set_output_delay -clock [get_clocks VIRTUAL_clkif] -max 1.800 [get_ports DOUT]
    *******************************************************************************************

    So, first. Architecturally, the clock that you forward to your external device should not come directly from the clock tree, but should be output via an ODDR with its D1 input tied to logic 1 and the D2 tied to logic 0. This guarantees minimal skew between the output data and the forwarded clock.
    ODDR #(
    .DDR_CLK_EDGE("OPPOSITE_EDGE"), // "OPPOSITE_EDGE" or "SAME_EDGE"
    .INIT(1'b0), // Initial value of Q: 1'b0 or 1'b1
    .SRTYPE("SYNC") // Set/Reset type: "SYNC" or "ASYNC"
    ) ODDR_inst (
    .Q (Clkif_ff), // 1-bit DDR output
    .C (ClkIN_BUFG), // 1-bit clock input
    .CE (1'b1), // 1-bit clock enable input
    .D1 (1'b1), // 1-bit data input (positive edge)
    .D2 (1'b0), // 1-bit data input (negative edge)
    .R (rst), // 1-bit reset
    .S (1'b0) // 1-bit set
    OBUF OBUF_inst (.I (Clkif_ff), .O (Clkif_out));
    This generates an output clock that is the same frequency as your input clock. This is consistent with your drawing, but inconsistent with your constraints - is the forwarded clock a 50MHz clock or a 25MHz clock?
    I will assume your ClkIN goes to a BUFG and generates ClkIN_BUFG.  Your first constraint generates a 50MHz clock on the ClkIN port which will propagate through the BUFG to (among other places) this ODDR.
    create_clock -period 20.000 -name ClkIN -waveform {0.000 10.000} [get_ports ClkIN]
    Assuming your forwarded clock is supposed to be 50MHz, then your 2nd command is close to correct
    create_generated_clock -name Clkif -source [get_pins cfg_if/ODDR_inst/C] -combinational  [get_pins cfg_if/ODDR_inst/Q]
    With this done, you have successfully described the forwarded clock from your design. This is the clock that goes to your device, and hence should be the clock which is used to specify your input and output constraints.
    set_input_delay -clock [get_clocks Clkif] -min 0.530 [get_ports DIN]
    set_input_delay -clock [get_clocks Clkif] -max 7.700 [get_ports DIN]
    set_output_delay -clock [get_clocks Clkif] -min -0.030 [get_ports DOUT]
    set_output_delay -clock [get_clocks Clkif] -max 1.800 [get_ports DOUT]
    If you want to get fancier, you could try adding a set_clock_latency to the forwarded clock to account for the board propagation of the clock
    set_clock_latency -source TDtrace2 [get_clocks Clkif]
    (But I haven't experimented with clock latency on a generated clock and I don't know for a fact that it works).
    Avrum

  • How to set up different ring tone for Facetime

    how to set up different ring tone for Facetime

    I don't think that on an iPhone that FaceTime has a separate setting, you can only set a ringtone (which will apply to phone calls) via Settings > Sounds, or set different tones for different contacts via the Contacts app - but you can't have a separate sound for FaceTime

  • How to set a default Billing type for a particular Delivery type

    Dear All,
    How to set a default billing type for a particular delivery type.
    My requirement is, we are creating delivery for a Stock Transport Order. Delivery type is NL and the Purchase order type is UB.
    When we are creating Billing, it should take Billing type "ZSTO" by default, which is the customised Billing type.
    Where we have to do this setting?
    In case of normal sales order, this control will be available in the Sales order document types.
    In case STO, how to set a default billing type for a delivery type (NL).
    Regards,
    Rajesh

    There is a customization available here no default or hard coded
    Normally in a sales doc type we mention which billing type system has to pick
    If the billing happens from a PO which billing type system will take depends on the controls set in the areas mentioned below
    Go to your delivery type OVLK (say your delivery type is NL)
    In that there is a field called default order qty in the order reference tab (say if you maintained DL there)
    This DL is called PSEUDO order type
    Then in VOV8 for DL based on the billing types mentioned ,system will take the billing doc
    For delivery related billing say if you mention say ZF8 in the details of DL in VOV8(provided you have created ZF8)
    Then while you bill the delivery doc of NL system will take ZF8
    For intercompany delivery you can create ZIV billing type also Pure customization
    PO is linked to delivery type ( MM spro settings)--Del type linked to order (pseudo) type---in order type (pseudo) we mention the billing types. Here the flow is bit different that pure SD flow
    Though the invoice is crated by manually putting customized Biiling Type and A/Cing doc also generated, but in the VF04 still system shows the same deliveries pending with Billing Type (F2).
    This manual is not reqd if the said assignments are done properly
    Hope it can assist you.
    Thanks & Regards
    JP
    Edited by: J Prakash on Jun 23, 2010 4:05 PM

  • HT201684 In Yosemite this no longer works, has anyone figured this out? How to set a custom default umask for all applications?

    In Yosemite this no longer works, has anyone figured this out? How to set a custom default umask for all applications?
    I've tried everything to get a custom umask set for all apps. It just seems impossible...
    Is there a replacement for launchd-user.conf?

    Please file a bug report: https://bugreport.apple.com
    I am sure this is something that got lost, like environment variables, in the Yosemite/iOS merger.

  • How to set the default settment rule for the same kind project.

    hi experts:
       the project of the same kind of the project profile have the same settment rule to one account (G/L).
    how to set the default settment rule for the project of  this kind of the project profile.
    regards

    Hi,
      You need to define the settlement profile and allocation structure.
    next you need to define the  Strategy for settlement rule.
    navigation-PS> costs> automatic and periodic allocations> settlement> Settlement rule for WBS
    --> Define strategy for settlement rule.
    Select the strategy and click on settings. in that new entries, check the Acc Assg element, enter Settlement profile and mention accass category as reposnsible cost center.
    Save this transaction.
    Now create a project assgin responsible costcenter for the WBS element in the project buider and save the transaction.
    Now run CJB2 transaction for the project, settlement rule will generated automatically.
    even you can configure the same for profitability segment.
    Rgds
    Sudhir Reddy

  • How to set ORACLE_HOMES on Windows 2000 for 11i

    How to set ORACLE_HOMES on Windows 2000 for 11i
    As am newbie on windows.
    Its very urgent.

    To set ORACLE_HOME on Windows:
    C:\> set ORACLE_HOME=<location>
    i.e.
    C:\> set ORACLE_HOME=d:\oracle\proddb\10.2.0
    To verify:
    echo %ORACLE_HOME%
    In Apps 11i, you do not have to set it manually, just source the environment file and this will set all environment variables for you.
    - To source the application env file, run envshell.cmd under %APPL_TOP%
    - To source the database env file, run <SID>_<hostname>.cmd under %RDBMS_ORACLE_HOME%

Maybe you are looking for

  • Preview loops in their native key & tempo - Can it be done?

    Sometimes I just want to browse my loops to hear what I've got -- not for a particular song. In this case, listening to a loop transposed and sped-up/ slowed-down just gets in the way. And changing the key and tempo of the open project all the time i

  • Different behavior from JDeveloper 11.1.1.4 to JDeveloper 11.1.2

    On Windows 7 64 bit. I have one component af:outputtext. In property value I wrote <af:outputText value="#{pageFlowScope.value}" id="outputText1"       inlineStyle="font-size:small; font-weight:bold; border-color:Black; border-width:thin; border-styl

  • Creation of Source System(R/3)

    Hi,   I'm trying to create R/3 source system in BW(RSA1->Source system->Create) but it fails to create. I have created RFC Destination on both BW & R/3 systems. I tested them for 1) Test connection 2)Remote connection. Sucessfully able to remotely lo

  • How to connect to Window 2003 server using "connect as"

    How can I set up Lion to easily connect to specified shares in the windows 2003 server. I want to authenticate as a user other than the one I am logged into on my Macbook? I am setting this up for teachers in a school. The macbook may be used by mult

  • Update Retriever missing update for S30 Model Type 4351

    Update Retriever missing update for S30 Model Type 4351. Missing update for Nvidia External VGA Driver Version 321.01 for Windows 7 (64-bit), Windows 8 (64-bit) - ThinkStation S30, D30. Retriever only lists udpate for AMD external VGA driver. This mo