Import External XSD into WSDL ?

Hello,
How to import external XSD like ABC.xsd into WSDL ?? Or Do I also need to enter namespece in the BPEL source file ??
Actually I have created a BPEL that using some XML input along with namespace like
<ssml name="asd" xlmns:abc="urn:ssml:core:3:5"> So I need to define this namespace somewhere in BPEL or WSDL.
Thanks

you can drag your external xsd to types section in WSDL file from your XSD folder then it will comes automatically
If you want to place your external xsd in XSD folder then copy that xsd and paste in XSD folder in your project directory

Similar Messages

  • Error after import external webservice(RFC) wsdl url to Process Composer

    Hi all,
    I try to use RFC webservice in my BPM as below:
    1. Expose RFC as webservice using CAF (import external service RFC and then create application service use this external service)
    2. Define Destination in NWA.
    3. Create a Process Composer project, and import the external webservice(RFC) wsdl file as service interface in the project.
    After importing, i get error : the port type specified for the ...binding is undefined. Check port type name and ensure it is defined.
    If i import another external service, not RFC (such as business object), there is no error.
    My system is NWCE 7.11
    Thanks in advance,
    Sinh.
    Edited by: Sinh Nguyen Van on Jul 20, 2009 8:29 AM

    Hi Bharath,
    Below is content of wsdl url and error message, thanks
    Error message:
    The 'zfm_rfc_caf_as' port type specified for the 'zfm_rfc_caf_asBinding' binding is undefined. Check the 'zfm_rfc_caf_as' port type name and ensure it is defined.
    wsdl url :
    - <definitions xmlns="http://schemas.xmlsoap.org/wsdl/" targetNamespace="http://www.sap.com/caf/demo.sap.com/s00_caf_rfc/modeled/zfm_rfc_caf_as" xmlns:b0="http://www.sap.com/caf/demo.sap.com/s00_caf_rfc/modeled/zfm_rfc_caf_as">
      <import namespace="http://www.sap.com/caf/demo.sap.com/s00_caf_rfc/modeled/zfm_rfc_caf_as" location="http://sinhnv-lap:50000/zfm_rfc_caf_as/zfm_rfc_caf_asBeanImpl?wsdl=binding&mode=ws_policy" />
    - <service name="zfm_rfc_caf_as">
    - <port name="zfm_rfc_caf_asBindingPort" binding="b0:zfm_rfc_caf_asBinding">
      <address xmlns="http://schemas.xmlsoap.org/wsdl/soap/" location="http://sinhnv-lap:50000/zfm_rfc_caf_as/zfm_rfc_caf_asBeanImpl" />
      </port>
      </service>
      </definitions>
    Edited by: Sinh Nguyen Van on Jul 22, 2009 4:18 AM

  • How to Import a XSD into a ESB.

    Hai,
    Can anyone make me clear how to import XSD file(PurchaseOrder) into the ESB
    using File Adapter. If this is BPEL we can simply change the Input message type
    by invoking XSD while creating the project.
    In ESB FileAdapter's Define Schema for Native format we will be invoking a
    purchase order schema file.
    I have doubt in the Step 3:(Record Organization), Step 4: (Specify elements) and
    in the Step 5: (Specify Delimiters) of the Native Format Builder what to specify
    correctly in these steps inorder to give purchase order inputs through ESB.
    cheers.

    Hi,
    To import an XSD, follow these :
    1: Keep you project selected from the "application navigator".
    2: From 'File' Menu, Select 'import' and then select 'Web Source' and after that specify the directory having the schema files.
    What doubts you have regarding the steps.
    Step 3 : It specifies what type of records you input file has, whether single/multiple records and their type. Anyways if you sample an input 'Purchase Order' File, this step will be automatically done for You.
    Step 4: You just need to fill in the only empty space here to give a name to identify your records. For ex: 'Purchase Order' or whatever you want.
    Step 5: Delimiters again are used depending on the type of input file(CSV/text/Fixed-Length) you have sampled.
    thanks
    Saurabh

  • Importing a XSD into MDS

    Hi,
    I have an xsd which is to be imported into the MDS database (I have the SOA-MDS connection as well). How do i do that, because i cannot find any option to import it directly into the MDS ?? Please let me know the steps ....
    Aditya

    Hi,
    Oracle/Middleware/JDeveloper/integration/seed , please create a folder caller apps under this folder....And under apps, you can have folders if u want or place the artifacts directly inside the apps folder. After placing the artifacts, lets say an XSD file, Create a JDeveloper New Application, under that create a New SOA Project, Right click on that SOA project and say Project properties, Now go to deployment, Now say New, and now select the archive type as JAR File and give a meaningful name,
    and say OK, And now you can see under the Project Output ; there are two things called Contributors and Filters. Select the Contributors and select the folders (which contains the XSD's you want to add). And then come to filters, and select the Artifacts you want to add to the MDS under the folder. You are good till now, Finally save the JAR File. Now right click on the application and say application properties , go to Deployments, say New, select the archive type as SOA Bundle, And give a meaningful name and say OK. Now select the bundle you have created and say Edit and go to dependencies, and here you need to add the jar file which you have created and save it. Now Right click on the application and say deploy to soabundle1 (the soa bundle which you have created ) and say Deploy to SOA Bundle and select the SOA Server and deploy it.
    Now you should be good to use the Artifacts which are in MDS...GO to your MDS COnnection...to apps folder...you will see the artifacts in the folder...
    Hope this helps you...
    Thanks,
    N

  • Importing external images into shared components

    Hi,
    I need to use a UP&DOWN arrow image "GIF" files in one of my portal hierarchy component.
    I could not locate these type of up&down arrow gif files in images direcftory of shared components.
    However left and right arrows are available, but I need up&down arrows.
    How can I import a externally created "GIF" file into images folder of shared components.
    Any ideas/pointers are appreciated.
    Thanks in advance,
    Surya

    You can add the image (store it in wwdoc_document$) and then refer to it using the /docs/??.gif method. Or , add it to a folder
    and then refer to it. One piece of unsolicited advice: why don't you store it on the file system. In my experience, the image is
    retrieved a lot faster, than when in the db (and you avoid the security checks that the Portal makes)
    Hi,
    I need to use a UP&DOWN arrow image "GIF" files in one of my portal hierarchy component.
    I could not locate these type of up&down arrow gif files in images direcftory of shared components.
    However left and right arrows are available, but I need up&down arrows.
    How can I import a externally created "GIF" file into images folder of shared components.
    Any ideas/pointers are appreciated.
    Thanks in advance,
    Surya

  • Importing external images into Flash using ActionScript 3.0

    Hey Guys!
    What i'm trying to do it import a few (say four) images from
    an external
    folder at random and display them in a row. The folder would
    contain up to a
    hundred pictures, sequentially named (ie. 1.jpg, 2.jpg,
    3.jpg, 4.jpg,
    etc...)
    any suggestions on how I could do this??
    thanks,
    -Chris

    thanks for getting me started! Is there a way to get the four
    images
    directly out of an external folder, though?
    thanks,
    -Chris
    "kglad" <[email protected]> wrote in message
    news:f7eop9$8um$[email protected]..
    > here's code to get you started. this allows you to
    select 4 of your
    > images at
    > random. then use the loader class and its load method to
    start your loads
    > and
    > use its contentloaderinfo property to attach listeners
    to detect if
    > there's
    > been a load error (so you can load another) and load
    completion (so you
    > can
    > position your images):
    >
    >
    >
    > Array.prototype.shuffle = function() {
    > var p:int;
    > var t:int;
    > var ivar:int
    > for (ivar = this.length-1; ivar>=0; ivar--) {
    > p=Math.floor((ivar+1)*Math.random())
    > t = this[ivar];
    > this[ivar] = this[p];
    > this[p] = t;
    > }
    > };
    > var tl:MovieClip=this;
    > var swfNum:int=100;
    > var num:int=0;
    > var i:int;
    > var swfA:Array=[];
    > for (i=1; i<=swfNum; i++) {
    > swfA.push(i+".jpg");
    > }
    >
    > swfA.shuffle();
    >
    > // swfA[0], swfA[1], swfA[2], swfA[3] contain references
    to 4 of your
    > images
    > randomly selected. if one of them doesn't exist
    > // use the ieError event to select the next one at
    random: swfA[3] etc.
    >

  • How to import external resources into JDeveloper?

    Hi!
    Please forgive me for what is probably a very easy question. I have some images that I need to import into my Struts project. How do I do so? I have tried dragging and dropping them onto the project, but nothing happens.
    Thank you in advance for your assistance!

    goto windows explore project location. under ViewController\public_html paste the images. in jdev refresh ViewController project.
    you can display the images using af:image like below:
    <af:image source="/logo.gif" shortDesc="logo"
    id="image1"/>
    if you want you can put the images under a folder ViewController\public_html\images. in that case the above source would be source="/images/logo.gif"

  • How can i import external data into folders in iCloud?

    I currently have all my iPhoto folders stored on a external harddrive (removed from iPhoto) and would like to put them in iCloud. The unfortunate thing is that i have an Macbook Air, and not enough memory to put all the folders back in iPhoto to have them uploaded to iCloud.
    - i have tried iCloud Drive, but this doesn't create folders..
    Thanks!

    You can create folders on iCloud Drive, like you create folders in Finder on your hard drive.
    Just open iCloud Drive in Finder and enter ⌘N to create a new folder. Then drag your photos to that folder and rename the folder.
    Only the special folders created by the standard apps do not allow to create deeply nested folders inside  these folders.
    The unfortunate thing is that i have an Macbook Air, and not enough memory to put all the folders back in iPhoto to have them uploaded to iCloud.
    If you want to move the photos to iCloud Drive to save space on your MacBook Air, that will not work.  For any file you store on iCloud Drive there will be a shadow copy on your Mac, so you cannot save space on your MacBook Air this way. You need as much spce on your MAcBook Air as you are using in iCloud.  Maybe this will change in future MacOS X versions, but right now you will have all iCloud files stored locally as well.
    See:   iCloud Drive FAQ

  • JS CS3 import external data into Dialog.

    I would like to create a dropdown in a dialog that is populated by either an XML or txt file. Can this be done?
    First an xml or txt file would be created listing all the current products we do. This would sit on a server.
    The InDesign script would read the xml or txt file and in the dialog screen under products would only provide the user the names in the xml/txt file.
    The user could select the product in the list and run the script.
    The xml/txt file could be updated at any time (add or remove products as required)

    I'm trying to expand of this example but I've come across a slight problem.
    I've change the simple xml to this.
    <queues>
      <option>
        <optionname>PDFFiles</optionname>
        <optionpath>/c/pdfs/</optionpath>
      </option>
      <option>
        <optionname>PSFiles</optionname>
        <optionpath>/c/postscript/</optionpath>
      </option>
    </queues>
    The script below should alert the selection no and the selection path
    if the first option is selected:
         alert("selection No is "+myDialog.DDgroup.myProducts.selection);  
    will return selection No is 0
          alert("path is "+xmlobj.option[myDialog.DDgroup.myProducts.selection].optionpath.toString());
    returns path is
    Shouldn't xmlobj.option[myDialog.DDgroup.myProducts.selection].optionpath.toString() return /c/pdfs/ if myDialog.DDgroup.myProducts.selection returns 0?
    var prefXMLFile = File("/c/queues.xml");
    if(prefXMLFile != null){
    var myResult = prefXMLFile.open("r", undefined, undefined);
    if(myResult == true){
    var xmlstr = prefXMLFile.read();
    else
    alert("Error")
    prefXMLFile.close();
    var xmlobj = new XML (xmlstr);
    //$.write(xmlobj.option);
    var myitems= xmlobj.option.length();
    myDialog=new Window('dialog', 'Simple Dialog');
    myDialog.orientation = 'column';
    myDialog.alignment = 'right';
    myDialog.DDgroup = myDialog.add('group');
    myDialog.DDgroup.orientation = 'row';
    myDialog.DDgroup.add('statictext', undefined,"Simple XML droplist.");
    myDialog.DDgroup.myProducts = myDialog.DDgroup.add("dropdownlist",undefined, undefined);
    myDialog.Btngroup = myDialog.add('group');
    myDialog.Btngroup.orientation = 'row';
    myDialog.Btngroup.alertBtn = myDialog.Btngroup.add('button', undefined, 'Alert Selection');
    myDialog.Btngroup.closeBtn = myDialog.Btngroup.add('button', undefined, 'Close');
    for (i=0;i<myitems;i++)
    var curitem= xmlobj.option[i].optionname.toString();
    myDialog.DDgroup.myProducts.add("item",curitem);
    myDialog.Btngroup.alertBtn.onClick = function() {
    if (myDialog.DDgroup.myProducts.selection == null ){
    alert("Make Selection");   
    }else{
    alert("selection No is "+myDialog.DDgroup.myProducts.selection);   
    alert("path is "+xmlobj.option[myDialog.DDgroup.myProducts.selection].optionpath.toString());   
    myDialog.Btngroup.closeBtn.onClick = function() {
      this.parent.parent.close();   
    myDialog.show();

  • "Connection Interruped" frequently while importing xsd into External Defini

    Hi All,
      Whenever I try to create a new External Definition and try to import an XSD into it from my local system or share drive the connection gets interrupted. Afterthat even I provide right credentials I am not able to log into the server successfully.
    I am able to successfully create other objects but only when I am importing an XSD into External Definition I am getting this issue.
    If anyone came across similar situation earlier and were able to overcome this please let me know how to handle this situation.
    Thanks in advance.
    Regards,
    Sarat

    Hi Sarat,
    Are you getting any error message ? If so what is the error message?
    This is weird one which I never heard.
    Check SAP Note: 1255351
    Thanks,

  • Importing external WSDLs

    I have to import an external WSDL into XI. But that WSDL has so many internal references to other XSD (using include tag), and XSD refer other XSD using include tag.
    So, I need to import all these XSD into my integration repository right? Even after importing all the related XSD, my WSDL is not showing any messages? What could be the problem?
    Thanks,
    Anika

    Hi Anika,
    You can import any external xsds, or wsdl into xi.
    For more-
    http://help.sap.com/saphelp_nw2004s/helpdata/en/26/9e97b0f525d743882936c2d6f375c7/content.htm
    Also just check this weblog and you'll have everything,
    /people/michal.krawczyk2/blog/2005/03/07/mail-adapter-xi--how-to-implement-dynamic-mail-address
    including the link to the external xsd file
    https://websmp205.sap-ag.de/~sapidb/012006153200000361852004E/ximail30_xsd.txt
    is this what you're looking for?
    Regards,
    Abhy

  • Importing XSD into another XSD

    Hi All,
    Is it possible to import one XSD into another XSD. I want to have all the elements like odsCadEvent, odsCadAgency, odsCadUnit defined in separate XSD and imported into the master.xsd. This way I don't have the data defintions in the master.xsd
    My sample XSD let's say (master.xsd) is big and will grow further.
    <xs:schema targetNamespace="http://xmlns.oracle.com/pcbpel/adapter/db/top/ortdOdsCadEvent" xmlns="http://xmlns.oracle.com/pcbpel/adapter/db/top/ortdOdsCadEvent"
    elementFormDefault="qualified" attributeFormDefault="qualified" xmlns:xs="http://www.w3.org/2001/XMLSchema">
    <xs:element name="odsCad" type="odsCadCollection"/>
    <xs:complexType name="odsCadCollection">
    <xs:choice>
    <xs:element name="odsCadEvent" type="odsCadEvent" minOccurs="0" maxOccurs="1"/>
    <xs:element name="odsCadAgency" type="odsCadAgency" minOccurs="0" maxOccurs="1"/>
    <xs:element name="odsCadUnit" type="odsCadUnit" minOccurs="0" maxOccurs="1"/>
    </xs:choice>
    </xs:complexType>
    <xs:complexType name="odsCadEvent">
    <xs:sequence>
    <xs:element name="datasetname" minOccurs="1" maxOccurs="1">
    <xs:simpleType>
    <xs:restriction base="xs:string">
    <xs:maxLength value="32"/>
    </xs:restriction>
    </xs:simpleType>
    </xs:element>
    <xs:element name="messagesequence" type="xs:decimal" minOccurs="1" maxOccurs="1"/>
    <xs:element name="eventid" type="xs:int" minOccurs="0" nillable="true"/>
    <xs:element name="eventrevisionno" type="xs:int" minOccurs="0" nillable="true"/>
    <xs:element name="createdatetimestamp" type="xs:dateTime" minOccurs="0" nillable="true"/>
    <xs:element name="callsourceofevent" minOccurs="0" nillable="true">
    <xs:simpleType>
    <xs:restriction base="xs:string">
    <xs:maxLength value="8"/>
    </xs:restriction>
    </xs:simpleType>
    </xs:element>
    <xs:element name="callername" minOccurs="0" nillable="true">
    <xs:simpleType>
    <xs:restriction base="xs:string">
    <xs:maxLength value="50"/>
    </xs:restriction>
    </xs:simpleType>
    </xs:element>
    <xs:element name="streetaddresscaller" minOccurs="0" nillable="true">
    <xs:simpleType>
    <xs:restriction base="xs:string">
    <xs:maxLength value="80"/>
    </xs:restriction>
    </xs:simpleType>
    </xs:element>
    <xs:element name="currentrecord" minOccurs="0" nillable="true">
    <xs:simpleType>
    <xs:restriction base="xs:string">
    <xs:maxLength value="1"/>
    </xs:restriction>
    </xs:simpleType>
    </xs:element>
    <xs:element name="eventappartmentno" minOccurs="0" nillable="true">
    <xs:simpleType>
    <xs:restriction base="xs:string">
    <xs:maxLength value="14"/>
    </xs:restriction>
    </xs:simpleType>
    </xs:element>
    <xs:element name="eventareaoflocation" minOccurs="0" nillable="true">
    <xs:simpleType>
    <xs:restriction base="xs:string">
    <xs:maxLength value="40"/>
    </xs:restriction>
    </xs:simpleType>
    </xs:element>
    <xs:element name="eventcommonplacename" minOccurs="0" nillable="true">
    <xs:simpleType>
    <xs:restriction base="xs:string">
    <xs:maxLength value="80"/>
    </xs:restriction>
    </xs:simpleType>
    </xs:element>
    <xs:element name="eventdirectionalprefix" minOccurs="0" nillable="true">
    <xs:simpleType>
    <xs:restriction base="xs:string">
    <xs:maxLength value="4"/>
    </xs:restriction>
    </xs:simpleType>
    </xs:element>
    <xs:element name="eventdirectionalsuffix" minOccurs="0" nillable="true">
    <xs:simpleType>
    <xs:restriction base="xs:string">
    <xs:maxLength value="4"/>
    </xs:restriction>
    </xs:simpleType>
    </xs:element>
    <xs:element name="eventstreetname" minOccurs="0" nillable="true">
    <xs:simpleType>
    <xs:restriction base="xs:string">
    <xs:maxLength value="240"/>
    </xs:restriction>
    </xs:simpleType>
    </xs:element>
    <xs:element name="eventstreettype" minOccurs="0" nillable="true">
    <xs:simpleType>
    <xs:restriction base="xs:string">
    <xs:maxLength value="4"/>
    </xs:restriction>
    </xs:simpleType>
    </xs:element>
    <xs:element name="eventsuburb" minOccurs="0" nillable="true">
    <xs:simpleType>
    <xs:restriction base="xs:string">
    <xs:maxLength value="40"/>
    </xs:restriction>
    </xs:simpleType>
    </xs:element>
    <xs:element name="eventstreetno" minOccurs="0" nillable="true">
    <xs:simpleType>
    <xs:restriction base="xs:string">
    <xs:maxLength value="11"/>
    </xs:restriction>
    </xs:simpleType>
    </xs:element>
    <xs:element name="locationcomment" minOccurs="0" nillable="true">
    <xs:simpleType>
    <xs:restriction base="xs:string">
    <xs:maxLength value="100"/>
    </xs:restriction>
    </xs:simpleType>
    </xs:element>
    <xs:element name="locationinterestsearch" minOccurs="0" nillable="true">
    <xs:simpleType>
    <xs:restriction base="xs:string">
    <xs:maxLength value="1"/>
    </xs:restriction>
    </xs:simpleType>
    </xs:element>
    <xs:element name="patientname" minOccurs="0" nillable="true">
    <xs:simpleType>
    <xs:restriction base="xs:string">
    <xs:maxLength value="45"/>
    </xs:restriction>
    </xs:simpleType>
    </xs:element>
    <xs:element name="updatedatatimestamp" type="xs:dateTime" minOccurs="0" nillable="true"/>
    <xs:element name="xcordofevent" type="xs:int" minOccurs="0" nillable="true"/>
    <xs:element name="crossstreetname1" minOccurs="0" nillable="true">
    <xs:simpleType>
    <xs:restriction base="xs:string">
    <xs:maxLength value="60"/>
    </xs:restriction>
    </xs:simpleType>
    </xs:element>
    <xs:element name="crossstreetname2" minOccurs="0" nillable="true">
    <xs:simpleType>
    <xs:restriction base="xs:string">
    <xs:maxLength value="60"/>
    </xs:restriction>
    </xs:simpleType>
    </xs:element>
    <xs:element name="ycordofevent" type="xs:int" minOccurs="0" nillable="true"/>
    <xs:element name="directorymelway" minOccurs="0" nillable="true">
    <xs:simpleType>
    <xs:restriction base="xs:string">
    <xs:maxLength value="11"/>
    </xs:restriction>
    </xs:simpleType>
    </xs:element>
    <xs:element name="directoryvicroads" minOccurs="0" nillable="true">
    <xs:simpleType>
    <xs:restriction base="xs:string">
    <xs:maxLength value="11"/>
    </xs:restriction>
    </xs:simpleType>
    </xs:element>
    <xs:element name="communicationdifficulty" minOccurs="0" nillable="true">
    <xs:simpleType>
    <xs:restriction base="xs:string">
    <xs:maxLength value="20"/>
    </xs:restriction>
    </xs:simpleType>
    </xs:element>
    <xs:element name="destcommonplacename" minOccurs="0" nillable="true">
    <xs:simpleType>
    <xs:restriction base="xs:string">
    <xs:maxLength value="80"/>
    </xs:restriction>
    </xs:simpleType>
    </xs:element>
    <xs:element name="callerphonenumber" minOccurs="0" nillable="true">
    <xs:simpleType>
    <xs:restriction base="xs:string">
    <xs:maxLength value="25"/>
    </xs:restriction>
    </xs:simpleType>
    </xs:element>
    <xs:element name="autokey" type="xs:decimal" minOccurs="0" nillable="true"/>
    <xs:element name="transstartdatetime" type="xs:dateTime" minOccurs="0" nillable="true"/>
    </xs:sequence>
    </xs:complexType>
    <xs:complexType name="odsCadAgency">
    <xs:sequence>
    <xs:element name="datasetname" minOccurs="1" maxOccurs="1">
    <xs:simpleType>
    <xs:restriction base="xs:string">
    <xs:maxLength value="32"/>
    </xs:restriction>
    </xs:simpleType>
    </xs:element>
    <xs:element name="messagesequence" type="xs:decimal" minOccurs="1" maxOccurs="1"/>
    <xs:element name="eventid" type="xs:int" minOccurs="0" nillable="true"/>
    <xs:element name="eventrevisionno" type="xs:int" minOccurs="0" nillable="true"/>
    <xs:element name="agencyeventnumber" minOccurs="0" nillable="true">
    <xs:simpleType>
    <xs:restriction base="xs:string">
    <xs:maxLength value="12"/>
    </xs:restriction>
    </xs:simpleType>
    </xs:element>
    <xs:element name="agencyeventnumberrevision" type="xs:int" minOccurs="0" nillable="true"/>
    <xs:element name="agencyid" minOccurs="0" nillable="true">
    <xs:simpleType>
    <xs:restriction base="xs:string">
    <xs:maxLength value="9"/>
    </xs:restriction>
    </xs:simpleType>
    </xs:element>
    <xs:element name="createdatetimestamp" type="xs:dateTime" minOccurs="0" nillable="true"/>
    <xs:element name="alarmlevelofevent" type="xs:int" minOccurs="0" nillable="true"/>
    <xs:element name="datetimefirstunitarrived" type="xs:dateTime" minOccurs="0" nillable="true"/>
    <xs:element name="numberunitonevent" type="xs:int" minOccurs="0" nillable="true"/>
    <xs:element name="currentrecord" minOccurs="0" nillable="true">
    <xs:simpleType>
    <xs:restriction base="xs:string">
    <xs:maxLength value="1"/>
    </xs:restriction>
    </xs:simpleType>
    </xs:element>
    <xs:element name="scheduleeventdestinationeid" type="xs:int" minOccurs="0" nillable="true"/>
    <xs:element name="dispatchgroup" minOccurs="0" nillable="true">
    <xs:simpleType>
    <xs:restriction base="xs:string">
    <xs:maxLength value="5"/>
    </xs:restriction>
    </xs:simpleType>
    </xs:element>
    <xs:element name="dispatchedtimestamp" type="xs:dateTime" minOccurs="0" nillable="true"/>
    <xs:element name="eventstatus" minOccurs="0" nillable="true">
    <xs:simpleType>
    <xs:restriction base="xs:string">
    <xs:maxLength value="1"/>
    </xs:restriction>
    </xs:simpleType>
    </xs:element>
    <xs:element name="datetimestampheldagreedrespons" type="xs:dateTime" minOccurs="0" nillable="true"/>
    <xs:element name="heldeventtypecode" type="xs:int" minOccurs="0" nillable="true"/>
    <xs:element name="heldunitid" minOccurs="0" nillable="true">
    <xs:simpleType>
    <xs:restriction base="xs:string">
    <xs:maxLength value="10"/>
    </xs:restriction>
    </xs:simpleType>
    </xs:element>
    <xs:element name="polygonareacode2" minOccurs="0" nillable="true">
    <xs:simpleType>
    <xs:restriction base="xs:string">
    <xs:maxLength value="6"/>
    </xs:restriction>
    </xs:simpleType>
    </xs:element>
    <xs:element name="polygonareacode3" minOccurs="0" nillable="true">
    <xs:simpleType>
    <xs:restriction base="xs:string">
    <xs:maxLength value="7"/>
    </xs:restriction>
    </xs:simpleType>
    </xs:element>
    <xs:element name="polygonareacode4" minOccurs="0" nillable="true">
    <xs:simpleType>
    <xs:restriction base="xs:string">
    <xs:maxLength value="5"/>
    </xs:restriction>
    </xs:simpleType>
    </xs:element>
    <xs:element name="polygonareacode5" minOccurs="0" nillable="true">
    <xs:simpleType>
    <xs:restriction base="xs:string">
    <xs:maxLength value="5"/>
    </xs:restriction>
    </xs:simpleType>
    </xs:element>
    <xs:element name="openandcurrent" minOccurs="0" nillable="true">
    <xs:simpleType>
    <xs:restriction base="xs:string">
    <xs:maxLength value="1"/>
    </xs:restriction>
    </xs:simpleType>
    </xs:element>
    <xs:element name="datetimestamppendingevent" type="xs:dateTime" minOccurs="0" nillable="true"/>
    <xs:element name="employeeidprimmember" type="xs:int" minOccurs="0" nillable="true"/>
    <xs:element name="primaryunitid" minOccurs="0" nillable="true">
    <xs:simpleType>
    <xs:restriction base="xs:string">
    <xs:maxLength value="10"/>
    </xs:restriction>
    </xs:simpleType>
    </xs:element>
    <xs:element name="priority" minOccurs="0" nillable="true">
    <xs:simpleType>
    <xs:restriction base="xs:string">
    <xs:maxLength value="1"/>
    </xs:restriction>
    </xs:simpleType>
    </xs:element>
    <xs:element name="datetimestampstatuschange" type="xs:dateTime" minOccurs="0" nillable="true"/>
    <xs:element name="datetimestampeventcreated" type="xs:dateTime" minOccurs="0" nillable="true"/>
    <xs:element name="datetimestamptransportarrived" type="xs:dateTime" minOccurs="0" nillable="true"/>
    <xs:element name="datetimestamptransport" type="xs:dateTime" minOccurs="0" nillable="true"/>
    <xs:element name="updatedatatimestamp" type="xs:dateTime" minOccurs="0" nillable="true"/>
    <xs:element name="datetimestampclose" type="xs:dateTime" minOccurs="0" nillable="true"/>
    <xs:element name="terminalwhocloseevent" minOccurs="0" nillable="true">
    <xs:simpleType>
    <xs:restriction base="xs:string">
    <xs:maxLength value="15"/>
    </xs:restriction>
    </xs:simpleType>
    </xs:element>
    <xs:element name="reopened" minOccurs="0" nillable="true">
    <xs:simpleType>
    <xs:restriction base="xs:string">
    <xs:maxLength value="1"/>
    </xs:restriction>
    </xs:simpleType>
    </xs:element>
    <xs:element name="eventcodesubcode" minOccurs="0" nillable="true">
    <xs:simpleType>
    <xs:restriction base="xs:string">
    <xs:maxLength value="16"/>
    </xs:restriction>
    </xs:simpleType>
    </xs:element>
    <xs:element name="eventcode" minOccurs="0" nillable="true">
    <xs:simpleType>
    <xs:restriction base="xs:string">
    <xs:maxLength value="16"/>
    </xs:restriction>
    </xs:simpleType>
    </xs:element>
    <xs:element name="eventcodedescription" minOccurs="0" nillable="true">
    <xs:simpleType>
    <xs:restriction base="xs:string">
    <xs:maxLength value="80"/>
    </xs:restriction>
    </xs:simpleType>
    </xs:element>
    <xs:element name="timeeventaddedtosystem" minOccurs="0" nillable="true">
    <xs:simpleType>
    <xs:restriction base="xs:string">
    <xs:maxLength value="16"/>
    </xs:restriction>
    </xs:simpleType>
    </xs:element>
    <xs:element name="autokey" type="xs:decimal" minOccurs="0" nillable="true"/>
    <xs:element name="transstartdatetime" type="xs:dateTime" minOccurs="0" nillable="true"/>
    <xs:element name="psychCategory" minOccurs="0" nillable="true">
    <xs:simpleType>
    <xs:restriction base="xs:string">
    <xs:maxLength value="1"/>
    </xs:restriction>
    </xs:simpleType>
    </xs:element>
    <xs:element name="psychUrgency" minOccurs="0" nillable="true">
    <xs:simpleType>
    <xs:restriction base="xs:string">
    <xs:maxLength value="1"/>
    </xs:restriction>
    </xs:simpleType>
    </xs:element>
    <xs:element name="beginDataEntry" type="xs:dateTime" minOccurs="0" nillable="true"/>
    <xs:element name="eventCreationTime" type="xs:dateTime" minOccurs="0" nillable="true"/>
    </xs:sequence>
    </xs:complexType>
    <xs:complexType name="odsCadUnit">
    <xs:sequence>
    <xs:element name="datasetname" minOccurs="1" maxOccurs="1">
    <xs:simpleType>
    <xs:restriction base="xs:string">
    <xs:maxLength value="32"/>
    </xs:restriction>
    </xs:simpleType>
    </xs:element>
    <xs:element name="messagesequence" type="xs:decimal" minOccurs="1" maxOccurs="1"/>
    <xs:element name="eventid" type="xs:int" minOccurs="0" nillable="true"/>
    <xs:element name="eventrevisionno" type="xs:int" minOccurs="0" nillable="true"/>
    <xs:element name="agencyeventnumber" minOccurs="0" nillable="true">
    <xs:simpleType>
    <xs:restriction base="xs:string">
    <xs:maxLength value="12"/>
    </xs:restriction>
    </xs:simpleType>
    </xs:element>
    <xs:element name="agencyeventnumberrevision" type="xs:int" minOccurs="0" nillable="true"/>
    <xs:element name="agencyid" minOccurs="0" nillable="true">
    <xs:simpleType>
    <xs:restriction base="xs:string">
    <xs:maxLength value="9"/>
    </xs:restriction>
    </xs:simpleType>
    </xs:element>
    <xs:element name="unitid" minOccurs="0" nillable="true">
    <xs:simpleType>
    <xs:restriction base="xs:string">
    <xs:maxLength value="10"/>
    </xs:restriction>
    </xs:simpleType>
    </xs:element>
    <xs:element name="carid" minOccurs="0" nillable="true">
    <xs:simpleType>
    <xs:restriction base="xs:string">
    <xs:maxLength value="6"/>
    </xs:restriction>
    </xs:simpleType>
    </xs:element>
    <xs:element name="unitstatus" minOccurs="0" nillable="true">
    <xs:simpleType>
    <xs:restriction base="xs:string">
    <xs:maxLength value="2"/>
    </xs:restriction>
    </xs:simpleType>
    </xs:element>
    <xs:element name="createdatetimestamp" type="xs:dateTime" minOccurs="0" nillable="true"/>
    <xs:element name="dispatchgroup" minOccurs="0" nillable="true">
    <xs:simpleType>
    <xs:restriction base="xs:string">
    <xs:maxLength value="5"/>
    </xs:restriction>
    </xs:simpleType>
    </xs:element>
    <xs:element name="xcordlastposition" type="xs:int" minOccurs="0" nillable="true"/>
    <xs:element name="ycordlastposition" type="xs:int" minOccurs="0" nillable="true"/>
    <xs:element name="location" minOccurs="0" nillable="true">
    <xs:simpleType>
    <xs:restriction base="xs:string">
    <xs:maxLength value="240"/>
    </xs:restriction>
    </xs:simpleType>
    </xs:element>
    <xs:element name="mdtunitid" minOccurs="0" nillable="true">
    <xs:simpleType>
    <xs:restriction base="xs:string">
    <xs:maxLength value="24"/>
    </xs:restriction>
    </xs:simpleType>
    </xs:element>
    <xs:element name="eventcodesubcode" minOccurs="0" nillable="true">
    <xs:simpleType>
    <xs:restriction base="xs:string">
    <xs:maxLength value="16"/>
    </xs:restriction>
    </xs:simpleType>
    </xs:element>
    <xs:element name="eventcode" minOccurs="0" nillable="true">
    <xs:simpleType>
    <xs:restriction base="xs:string">
    <xs:maxLength value="16"/>
    </xs:restriction>
    </xs:simpleType>
    </xs:element>
    <xs:element name="unithistorycomment" minOccurs="0" nillable="true">
    <xs:simpleType>
    <xs:restriction base="xs:string">
    <xs:maxLength value="200"/>
    </xs:restriction>
    </xs:simpleType>
    </xs:element>
    <xs:element name="datetimeupdatestamp" type="xs:dateTime" minOccurs="0" nillable="true"/>
    <xs:element name="autokey" type="xs:decimal" minOccurs="0" nillable="true"/>
    <xs:element name="transstartdatetime" type="xs:dateTime" minOccurs="0" nillable="true"/>
    </xs:sequence>
    </xs:complexType>
    </xs:schema>
    Thanks

    It is possible. I have used import in wsdl for clean definition. The same should apply to XSD also.
    http://www.xfront.com/HideVersusExpose.html should be helpful in understanding the design aspects.
    Eg.
    <wsdl:definitions name="testAdapter" targetNamespace="http://xmlns.oracle.com/pcbpel/adapter/db/JCADBTest/Project1/testAdapter" xmlns:tns="http://xmlns.oracle.com/pcbpel/adapter/db/JCADBTest/Project1/testAdapter" xmlns:wsdl="http://schemas.xmlsoap.org/wsdl/" xmlns:plt="http://schemas.xmlsoap.org/ws/2003/05/partner-link/" xmlns:top="http://xmlns.oracle.com/pcbpel/adapter/db/top/testAdapter">
    <plt:partnerLinkType name="testAdapter_plt">
    <plt:role name="testAdapter_role">
    <plt:portType name="tns:testAdapter_ptt"/>
    </plt:role>
    </plt:partnerLinkType>
    <wsdl:types>
    <schema xmlns="http://www.w3.org/2001/XMLSchema">
    <import namespace="http://xmlns.oracle.com/pcbpel/adapter/db/top/testAdapter" schemaLocation="xsd/testAdapter_table.xsd"/>
    </schema>
    </wsdl:types>
    Manoj
    Edited by: Manoj Neelapu on Apr 16, 2010 8:32 AM

  • How to import Verilog codes into LabVIEW FPGA?

    I tried to import Verilog code by instantiation followed by the instruction in http://digital.ni.com/public.nsf/allkb/7269557B205B1E1A86257640000910D3, 
    but still I can see some errors while compiling the VI file.
    Simple test Verilog file is as follows:
    ==============================
    module andtwobits (xx, yy, zz);
    input xx, yy;
    output reg zz;
    always @(xx,yy) begin
    zz <= xx & yy;
    end
    endmodule
    ==============================
    and after following up the above link, we created the instantiation file as
    ==============================================
    library ieee;
    use ieee.std_logic_1164.all;
    entity mainVHDL is
    port(
    xxin: in std_logic;
    yyin: in std_logic;
    zzout: out std_logic
    end mainVHDL;
    architecture mainVHDL1 of mainVHDL is
    COMPONENT andtwobits PORT (
    zz : out std_logic;
    xx : in std_logic;
    yy : in std_logic);
    END COMPONENT;
    begin
    alu : andtwobits port map(
    zz => zzout,
    xx => xxin,
    yy => yyin);
    end mainVHDL1;
    ==============================================
    Sometimes, we observe the following error when we put the indicator on the output port,
    ERROR:ConstraintSystem:58 - Constraint <INST "*ChinchLvFpgaIrq*bIpIrq_ms*" TNM =
    TNM_ChinchIrq_IpIrq_ms;> [Puma20Top.ucf(890)]: INST
    "*ChinchLvFpgaIrq*bIpIrq_ms*" does not match any design objects.
    ERROR:ConstraintSystem:58 - Constraint <INST "*ChinchLvFpgaIrq*bIpIrq*" TNM =
    TNM_ChinchIrq_IpIrq;> [Puma20Top.ucf(891)]: INST "*ChinchLvFpgaIrq*bIpIrq*"
    does not match any design objects.
    and interestingly, if we remove the indicator from the output port, it sucessfully compiles on the LabVIEW FPGA.
    Could you take a look at and please help me to import Verilog to LabVIEW FPGA?
    I've followed the basic steps of instantiation on the above link, but still it won't work.
    Please find the attachment for the all files.
    - andtwobits.v : original Verilog file
    - andtwobits.ngc: NGC file
    - andtwobits.vhd: VHD file after post-translate simulation model
    - mainVHDL.vhd: instantiation main file
    Since there is no example file for Verilog (there is VHDL file, but not for Verilog), it is a bit hard to do the simple execution on LabVIEW FPGA even for the examples.
    Thank you very much for your support, and I'm looking forward to seeing your any help/reply as soon as possible.
    Bests,
    Solved!
    Go to Solution.
    Attachments:
    attach.zip ‏57 KB

    Hi,
    I am facing problem in creating successfully importing  VHDL wrapper file for a Verilog module,into LabVIEW FPGA using CLIP Node method. Please note that:
    I am working on platform SbRIO-9606.
    Labiew version used is 2011 with Xilinx 12.4 compiler tools
    NI RIO 4.0 is installed
    Xilinx ISE version installed in PC is also 12.4 webpack ( Though I used before Xilinx 10.1 in PC for generating .ngc file for verilog code FOR SbRIO 9642 platform, but problem remains same for both versions)
    Query1. Which versions of Xilinx ISE (to be installed in PC for generating .ngc file) are compatible with Labview 2011.1(with Xilinx 12.4 Compiler tools)? Can any version be used up to 12.4?
    Initially I took a basic and gate verilog example to import into LabVIEW FPGA i.e. simple_and.v and its corresponding VHDL file is SimpleAnd_Wrapper.vhd
    ///////////////// Verilog code of “simple_and.v”//////////////////////
    module simple_and(in1, in2, out1);
       input in1,in2;
       output reg out1;
       always@( in1 or in2)
       begin
          out1 <= in1 & in2;
       end
    endmodule
    /////////////////VHDL Wrapper file code of “SimpleAnd_Wrapper.vhd” //////////////////////
    LIBRARY ieee;
    USE ieee.std_logic_1164.ALL;
    ENTITY SimpleAnd_Wrapper IS
        port (
            in1    : in std_logic;
            in2    : in std_logic;
            out1   : out std_logic
    END SimpleAnd_Wrapper;
    ARCHITECTURE RTL of SimpleAnd_Wrapper IS
    component simple_and
       port(
             in1    : in std_logic;
             in2    : in std_logic;
             out1   : out std_logic
    end component;
    BEGIN
    simple_and_instant: simple_and
       port map(
                in1 => in1,
                in2 => in2,
                out1 => out1
    END RTL;
    Documents/tutorials followed for generating VHDL Wrapper file for Verilog core are:
    NI tutorial “How do I Integrate Verilog HDL with LabView FPGA module”. Link is http://digital.ni.com/public.nsf/allkb/7269557B205B1E1A86257640000910D3
    In this case, I did not get any vhdl file after “post-translate simulation model step” in netlist project using simple_and.ngc file previously generated through XST. Instead I got was simple_and_translate.v.
    Query2. Do I hv to name tht “v” file into “simple_and.vhd”?? Anyways it did not work both ways i.e. naming it as “simple_and with a “v” or “vhd” extension. In end I copied that “simple_and.v” post translate model file, “simple_and.ngc”, and VHDL Wrapper file “SimpleAnd_Wrapper.vhd” in the respective labview project directory.
    Query3. The post-translate model file can  also be generated by implementing verilog simple_and.v  file, so why have to generate it by making a separate netlist project using “simple_and.ngc” file? Is there any difference between these two files simple_and_translate.v generated through separate approaches as I mentioned?
    2. NI tutorial “Using Verilog Modules in a Component-Level IP Design”. Link is https://decibel.ni.com/content/docs/DOC-8218.
    In this case, I generated only “simple_and.ngc” file by synthesizing “simple_and.v “file using Xilinx ISE 12.4 tool. Copied that “simple_and.ngc” and “SimpleAnd_Wrapper.vhd” file in the same directory.
    Query4. What is the difference between this method and the above one?
    2. I followed tutorial “Importing External IP into LABVIEW FPGA” for rest steps of creating a CLIP, declaring it and passing data between CLIP and FPGA VI. Link is http://www.ni.com/white-paper/7444/en. This VI executes perfectly on FPGA for the example”simple_and.vhd” file being provided in this tutorial.
    Compilation Errors Warnings received after compiling my SimpleAnd_Wrapper.vhd file
    Elaborating entity <SimpleAnd_Wrapper> (architecture <RTL>) from library <work>.
    WARNING:HDLCompiler:89"\NIFPGA\jobs\WcD1f16_fqu2nOv\SimpleAnd_Wrapper.vhd"    Line 35: <simple_and> remains a black-box since it has no binding entity.
    2. WARNING:NgdBuild:604 - logical block 'window/theCLIPs/Component_ dash_Level _IP_ CLIP0/simple_and_instant' with type   'simple_and' could not be resolved. A pin name misspelling can cause this, a missing edif or ngc file, case mismatch between the block name and the edif or ngc file name, or the misspelling of a type name. Symbol 'simple_and' is not supported in target 'spartan6'.
    3. ERROR:MapLib:979 - LUT6 symbol   "window/theVI/Component_dash_Level_IP_bksl_out1_ind_2/PlainIndicator.PlainInd icator/cQ_0_rstpot" (output signal=window/theVI/ Component_dash_Level _IP_bksl_out1_ ind_2/PlainIndicator.PlainIndicator/cQ_0_rstpot) has input signal "window/internal_Component_dash_Level_IP_out1" which will be trimmed. SeeSection 5 of the Map Report File for details about why the input signal willbecome undriven.
    Query5. Where lays that “section5” of map report? It maybe a ridiculous question, but sorry I really can’t find it; maybe it lays in xilnx log file!
    4. ERROR:MapLib:978 - LUT6 symbol  "window/theVI/Component_dash_Level_IP_bksl_ out1_ind_2/PlainIndicator.PlainIndicator/cQ_0_rstpot" (output signal= window / theVI/Component_dash_Level_IP_bksl_out1_ind_2/PlainIndicator.PlainIndicator/ cQ_0_rstpot) has an equation that uses input pin I5, which no longer has a connected signal. Please ensure that all the pins used in the equation for this LUT have signals that are not trimmed (see Section 5 of the Map Report File for details on which signals were trimmed). Error found in mapping process, exiting.Errors found during the mapping phase. Please see map report file for more details.  Output files will not be written.
    Seeing these errors I have reached the following conclusions.
    There is some problem in making that VHDL Wrapper file, LabVIEW does not recognize the Verilog component instantiated in it and treat it as unresolved black box.
    Query6. Is there any step I maybe missing while making this VHDL wrapper file; in my opinion I have tried every possibility in docs/help available in NI forums?
    2. Query7. Maybe it is a pure Xilinx issue i.e. some sort of library conflict as verilog module is not binding to top VHDL module as can be seen from warning HDLCompiler89. If this is the case then how to resolve that library conflict? Some hint regarding this expected issue has been given in point 7 of tutorial “How do I Integrate Verilog HDL with LabView FPGA module”. http://digital.ni.com/public.nsf/allkb/7269557B205B1E1A86257640000910D3. But nothing has been said much about resolving that issue.  
    3. Because of this unidentified black box, the whole design could not be mapped and hence could not be compiled.
    P.S.
    I have attached labview project zip folder containing simple_translate.v, simple_and_verilog.vi file,SimpleAnd_Wrapper.xml,  Xilinx log file after compilation alongwith other files. Kindly analyze and help me out in resolving this basic issue.
    Please note that I have made all settings regarding:
    Unchecked add I/O buffers option in XST of Xilinx ISE 12.4 project
    Have set “Pack I/O Registers into IOBs” to NO in XST properties of project.
    Synchronization registers are also set to zero by default of all CLIP I/O terminals.
    Please I need speedy help.Thanking in you in anticipation.
    Attachments:
    XilinxLog.txt ‏256 KB
    labview project files.zip ‏51 KB

  • Can't import XSD (without Namespace) into WSDL

    Hi
    We are developing a BPEL process that takes a XML message - that adheres to the new XSD (has a namespace) - and steps the message (translates) down in to the old XML message - that adheres to the current production XSD (does not have a namespace).
    Our issue is that is seems that you cant import a XSD without a namespace into a WSDL using JCAPS 6. Is there a way around this as we dont want to update the old XSD to include a namespace, knowing that there will be alot of additional dev to upgrade our exisiting 4.5.3 components and the XSD will be decommisioned in the future.
    Any help would be greatly appreciated.
    Regards,

    Hi Arie,
    Thanks for your response.
    It actually happens with every .psd file regardless of size or specs.
    All the other programs in the CS6 master collection work fine, except for AE and PS.
    I tried the small file. Created a small file, 100 px by 100px 72dpi, just with a gradient background. Same thing, not enough memory to initialise PSL.
    I got the adobe cleaner, and re-installed on my system (again) .... same thing.
    As long as I open AE with doing ctrl-alt-shift every single time or export layers from PS individually I seem to be OK.
    The problem is far from solved, so I guess i just have to put up with workarounds.
    Thanks for taking the time to respond

  • Reg: Cannot Importing B2mml XSD's successfully into PI External defnitions

    Hi! PI Team,
    I would like to know one interesting topic about B2MML structures. Here as per my requirement we are trying to integrate MES application with ECC by means of PI 7.1. For that I received List of XSD's with B2MML Strucutures.  on ECC side we are going for control reciepe for Process Order. and IDOC for Material Master.
    ISSUE::
    Even though as per my knowledge we cannot import successfully those b2mml xsd's into PI successfully I tried Since I received XSD's at MES appliction side I tried to import those XSD's into PI External Defnition
    I tried actually importing Materialdefinition xsd but I got an error instead of fields for each and every field I am getting that
    <b> Material Definition and as a type as APLLICATION error instead of xsd:: string or  something else.
    Can any one help me  out regarding this and how to import those structures succesfully and also let me know or provide the links if you are having XI scenarios for MES and ECC integration
    Note::</b>  I already gone through few B2mml links but i didn;t found any ans in those.
    Regards::
    Amar Srinivas Eli
    Edited by: Amar Srinivas Eli on Aug 30, 2009 12:52 AM

    Hi!
    Thanks for your immediate reponse but these are not the right one because I zip file which I received from the client contains nearly lots of XSD's but which when I am imporing into PI I getting in this form
    I am getting for field names Instead of Field Names as MAterial Definition and type as Applciation some thing..
    Pelase let me know whether I need to change my XSD or not ? If yes How to change ? or else plse gudie  me in a right way ?
    Regards:
    Amar Srinivas Eli

Maybe you are looking for

  • How to use ABAP BC400 training course(Flight model)package installed on R/3

    Hi  abapers, SAP provided series of courses BC with R/3 where for educational purposes...BC400 is for example ABAP Workbench....There are bunch of programs when one would love to run on R/3 , play with them and see the result...My understanding is th

  • Rfc to File Adapter

    Hi ,          I am using  RFC to file adapter.i am facing this error in XML. com.sap.aii.utilxi.misc.api.BaseRuntimeException thrown during application mapping com/sap/xi/tf/_MM_RFC2FILE_: RuntimeException in Message-Mapping transformatio~ <SAP:Stack

  • How to allow ebay to use my iPad camera

    I Downloaded the ebay app for iPad and accidentally did clicked the don't allow eBay to use camera option and now cannot take pictures of ebay items for sale

  • Is Print Shop 2 compatible with Mountain Lion?

    I'm considering buying Print Shop 2 (mainly for the purpose of printing labels, though I'm also generally looking for something to replace MS Publisher).  I can't find out, though, whether the current version of Print Shop 2 is compatible with Mounta

  • Just synced iPhone and SOME contacts gone from phone, still in address book

    I just synced my iPhone for the first time in a couple weeks. The software is up to date, as is iTunes... Anyhow, I noticed some of my contacts had disappeared when I looked in my SMS messages and a lot of them just had numbers where names used to be