Importing XSD into another XSD

Hi All,
Is it possible to import one XSD into another XSD. I want to have all the elements like odsCadEvent, odsCadAgency, odsCadUnit defined in separate XSD and imported into the master.xsd. This way I don't have the data defintions in the master.xsd
My sample XSD let's say (master.xsd) is big and will grow further.
<xs:schema targetNamespace="http://xmlns.oracle.com/pcbpel/adapter/db/top/ortdOdsCadEvent" xmlns="http://xmlns.oracle.com/pcbpel/adapter/db/top/ortdOdsCadEvent"
elementFormDefault="qualified" attributeFormDefault="qualified" xmlns:xs="http://www.w3.org/2001/XMLSchema">
<xs:element name="odsCad" type="odsCadCollection"/>
<xs:complexType name="odsCadCollection">
<xs:choice>
<xs:element name="odsCadEvent" type="odsCadEvent" minOccurs="0" maxOccurs="1"/>
<xs:element name="odsCadAgency" type="odsCadAgency" minOccurs="0" maxOccurs="1"/>
<xs:element name="odsCadUnit" type="odsCadUnit" minOccurs="0" maxOccurs="1"/>
</xs:choice>
</xs:complexType>
<xs:complexType name="odsCadEvent">
<xs:sequence>
<xs:element name="datasetname" minOccurs="1" maxOccurs="1">
<xs:simpleType>
<xs:restriction base="xs:string">
<xs:maxLength value="32"/>
</xs:restriction>
</xs:simpleType>
</xs:element>
<xs:element name="messagesequence" type="xs:decimal" minOccurs="1" maxOccurs="1"/>
<xs:element name="eventid" type="xs:int" minOccurs="0" nillable="true"/>
<xs:element name="eventrevisionno" type="xs:int" minOccurs="0" nillable="true"/>
<xs:element name="createdatetimestamp" type="xs:dateTime" minOccurs="0" nillable="true"/>
<xs:element name="callsourceofevent" minOccurs="0" nillable="true">
<xs:simpleType>
<xs:restriction base="xs:string">
<xs:maxLength value="8"/>
</xs:restriction>
</xs:simpleType>
</xs:element>
<xs:element name="callername" minOccurs="0" nillable="true">
<xs:simpleType>
<xs:restriction base="xs:string">
<xs:maxLength value="50"/>
</xs:restriction>
</xs:simpleType>
</xs:element>
<xs:element name="streetaddresscaller" minOccurs="0" nillable="true">
<xs:simpleType>
<xs:restriction base="xs:string">
<xs:maxLength value="80"/>
</xs:restriction>
</xs:simpleType>
</xs:element>
<xs:element name="currentrecord" minOccurs="0" nillable="true">
<xs:simpleType>
<xs:restriction base="xs:string">
<xs:maxLength value="1"/>
</xs:restriction>
</xs:simpleType>
</xs:element>
<xs:element name="eventappartmentno" minOccurs="0" nillable="true">
<xs:simpleType>
<xs:restriction base="xs:string">
<xs:maxLength value="14"/>
</xs:restriction>
</xs:simpleType>
</xs:element>
<xs:element name="eventareaoflocation" minOccurs="0" nillable="true">
<xs:simpleType>
<xs:restriction base="xs:string">
<xs:maxLength value="40"/>
</xs:restriction>
</xs:simpleType>
</xs:element>
<xs:element name="eventcommonplacename" minOccurs="0" nillable="true">
<xs:simpleType>
<xs:restriction base="xs:string">
<xs:maxLength value="80"/>
</xs:restriction>
</xs:simpleType>
</xs:element>
<xs:element name="eventdirectionalprefix" minOccurs="0" nillable="true">
<xs:simpleType>
<xs:restriction base="xs:string">
<xs:maxLength value="4"/>
</xs:restriction>
</xs:simpleType>
</xs:element>
<xs:element name="eventdirectionalsuffix" minOccurs="0" nillable="true">
<xs:simpleType>
<xs:restriction base="xs:string">
<xs:maxLength value="4"/>
</xs:restriction>
</xs:simpleType>
</xs:element>
<xs:element name="eventstreetname" minOccurs="0" nillable="true">
<xs:simpleType>
<xs:restriction base="xs:string">
<xs:maxLength value="240"/>
</xs:restriction>
</xs:simpleType>
</xs:element>
<xs:element name="eventstreettype" minOccurs="0" nillable="true">
<xs:simpleType>
<xs:restriction base="xs:string">
<xs:maxLength value="4"/>
</xs:restriction>
</xs:simpleType>
</xs:element>
<xs:element name="eventsuburb" minOccurs="0" nillable="true">
<xs:simpleType>
<xs:restriction base="xs:string">
<xs:maxLength value="40"/>
</xs:restriction>
</xs:simpleType>
</xs:element>
<xs:element name="eventstreetno" minOccurs="0" nillable="true">
<xs:simpleType>
<xs:restriction base="xs:string">
<xs:maxLength value="11"/>
</xs:restriction>
</xs:simpleType>
</xs:element>
<xs:element name="locationcomment" minOccurs="0" nillable="true">
<xs:simpleType>
<xs:restriction base="xs:string">
<xs:maxLength value="100"/>
</xs:restriction>
</xs:simpleType>
</xs:element>
<xs:element name="locationinterestsearch" minOccurs="0" nillable="true">
<xs:simpleType>
<xs:restriction base="xs:string">
<xs:maxLength value="1"/>
</xs:restriction>
</xs:simpleType>
</xs:element>
<xs:element name="patientname" minOccurs="0" nillable="true">
<xs:simpleType>
<xs:restriction base="xs:string">
<xs:maxLength value="45"/>
</xs:restriction>
</xs:simpleType>
</xs:element>
<xs:element name="updatedatatimestamp" type="xs:dateTime" minOccurs="0" nillable="true"/>
<xs:element name="xcordofevent" type="xs:int" minOccurs="0" nillable="true"/>
<xs:element name="crossstreetname1" minOccurs="0" nillable="true">
<xs:simpleType>
<xs:restriction base="xs:string">
<xs:maxLength value="60"/>
</xs:restriction>
</xs:simpleType>
</xs:element>
<xs:element name="crossstreetname2" minOccurs="0" nillable="true">
<xs:simpleType>
<xs:restriction base="xs:string">
<xs:maxLength value="60"/>
</xs:restriction>
</xs:simpleType>
</xs:element>
<xs:element name="ycordofevent" type="xs:int" minOccurs="0" nillable="true"/>
<xs:element name="directorymelway" minOccurs="0" nillable="true">
<xs:simpleType>
<xs:restriction base="xs:string">
<xs:maxLength value="11"/>
</xs:restriction>
</xs:simpleType>
</xs:element>
<xs:element name="directoryvicroads" minOccurs="0" nillable="true">
<xs:simpleType>
<xs:restriction base="xs:string">
<xs:maxLength value="11"/>
</xs:restriction>
</xs:simpleType>
</xs:element>
<xs:element name="communicationdifficulty" minOccurs="0" nillable="true">
<xs:simpleType>
<xs:restriction base="xs:string">
<xs:maxLength value="20"/>
</xs:restriction>
</xs:simpleType>
</xs:element>
<xs:element name="destcommonplacename" minOccurs="0" nillable="true">
<xs:simpleType>
<xs:restriction base="xs:string">
<xs:maxLength value="80"/>
</xs:restriction>
</xs:simpleType>
</xs:element>
<xs:element name="callerphonenumber" minOccurs="0" nillable="true">
<xs:simpleType>
<xs:restriction base="xs:string">
<xs:maxLength value="25"/>
</xs:restriction>
</xs:simpleType>
</xs:element>
<xs:element name="autokey" type="xs:decimal" minOccurs="0" nillable="true"/>
<xs:element name="transstartdatetime" type="xs:dateTime" minOccurs="0" nillable="true"/>
</xs:sequence>
</xs:complexType>
<xs:complexType name="odsCadAgency">
<xs:sequence>
<xs:element name="datasetname" minOccurs="1" maxOccurs="1">
<xs:simpleType>
<xs:restriction base="xs:string">
<xs:maxLength value="32"/>
</xs:restriction>
</xs:simpleType>
</xs:element>
<xs:element name="messagesequence" type="xs:decimal" minOccurs="1" maxOccurs="1"/>
<xs:element name="eventid" type="xs:int" minOccurs="0" nillable="true"/>
<xs:element name="eventrevisionno" type="xs:int" minOccurs="0" nillable="true"/>
<xs:element name="agencyeventnumber" minOccurs="0" nillable="true">
<xs:simpleType>
<xs:restriction base="xs:string">
<xs:maxLength value="12"/>
</xs:restriction>
</xs:simpleType>
</xs:element>
<xs:element name="agencyeventnumberrevision" type="xs:int" minOccurs="0" nillable="true"/>
<xs:element name="agencyid" minOccurs="0" nillable="true">
<xs:simpleType>
<xs:restriction base="xs:string">
<xs:maxLength value="9"/>
</xs:restriction>
</xs:simpleType>
</xs:element>
<xs:element name="createdatetimestamp" type="xs:dateTime" minOccurs="0" nillable="true"/>
<xs:element name="alarmlevelofevent" type="xs:int" minOccurs="0" nillable="true"/>
<xs:element name="datetimefirstunitarrived" type="xs:dateTime" minOccurs="0" nillable="true"/>
<xs:element name="numberunitonevent" type="xs:int" minOccurs="0" nillable="true"/>
<xs:element name="currentrecord" minOccurs="0" nillable="true">
<xs:simpleType>
<xs:restriction base="xs:string">
<xs:maxLength value="1"/>
</xs:restriction>
</xs:simpleType>
</xs:element>
<xs:element name="scheduleeventdestinationeid" type="xs:int" minOccurs="0" nillable="true"/>
<xs:element name="dispatchgroup" minOccurs="0" nillable="true">
<xs:simpleType>
<xs:restriction base="xs:string">
<xs:maxLength value="5"/>
</xs:restriction>
</xs:simpleType>
</xs:element>
<xs:element name="dispatchedtimestamp" type="xs:dateTime" minOccurs="0" nillable="true"/>
<xs:element name="eventstatus" minOccurs="0" nillable="true">
<xs:simpleType>
<xs:restriction base="xs:string">
<xs:maxLength value="1"/>
</xs:restriction>
</xs:simpleType>
</xs:element>
<xs:element name="datetimestampheldagreedrespons" type="xs:dateTime" minOccurs="0" nillable="true"/>
<xs:element name="heldeventtypecode" type="xs:int" minOccurs="0" nillable="true"/>
<xs:element name="heldunitid" minOccurs="0" nillable="true">
<xs:simpleType>
<xs:restriction base="xs:string">
<xs:maxLength value="10"/>
</xs:restriction>
</xs:simpleType>
</xs:element>
<xs:element name="polygonareacode2" minOccurs="0" nillable="true">
<xs:simpleType>
<xs:restriction base="xs:string">
<xs:maxLength value="6"/>
</xs:restriction>
</xs:simpleType>
</xs:element>
<xs:element name="polygonareacode3" minOccurs="0" nillable="true">
<xs:simpleType>
<xs:restriction base="xs:string">
<xs:maxLength value="7"/>
</xs:restriction>
</xs:simpleType>
</xs:element>
<xs:element name="polygonareacode4" minOccurs="0" nillable="true">
<xs:simpleType>
<xs:restriction base="xs:string">
<xs:maxLength value="5"/>
</xs:restriction>
</xs:simpleType>
</xs:element>
<xs:element name="polygonareacode5" minOccurs="0" nillable="true">
<xs:simpleType>
<xs:restriction base="xs:string">
<xs:maxLength value="5"/>
</xs:restriction>
</xs:simpleType>
</xs:element>
<xs:element name="openandcurrent" minOccurs="0" nillable="true">
<xs:simpleType>
<xs:restriction base="xs:string">
<xs:maxLength value="1"/>
</xs:restriction>
</xs:simpleType>
</xs:element>
<xs:element name="datetimestamppendingevent" type="xs:dateTime" minOccurs="0" nillable="true"/>
<xs:element name="employeeidprimmember" type="xs:int" minOccurs="0" nillable="true"/>
<xs:element name="primaryunitid" minOccurs="0" nillable="true">
<xs:simpleType>
<xs:restriction base="xs:string">
<xs:maxLength value="10"/>
</xs:restriction>
</xs:simpleType>
</xs:element>
<xs:element name="priority" minOccurs="0" nillable="true">
<xs:simpleType>
<xs:restriction base="xs:string">
<xs:maxLength value="1"/>
</xs:restriction>
</xs:simpleType>
</xs:element>
<xs:element name="datetimestampstatuschange" type="xs:dateTime" minOccurs="0" nillable="true"/>
<xs:element name="datetimestampeventcreated" type="xs:dateTime" minOccurs="0" nillable="true"/>
<xs:element name="datetimestamptransportarrived" type="xs:dateTime" minOccurs="0" nillable="true"/>
<xs:element name="datetimestamptransport" type="xs:dateTime" minOccurs="0" nillable="true"/>
<xs:element name="updatedatatimestamp" type="xs:dateTime" minOccurs="0" nillable="true"/>
<xs:element name="datetimestampclose" type="xs:dateTime" minOccurs="0" nillable="true"/>
<xs:element name="terminalwhocloseevent" minOccurs="0" nillable="true">
<xs:simpleType>
<xs:restriction base="xs:string">
<xs:maxLength value="15"/>
</xs:restriction>
</xs:simpleType>
</xs:element>
<xs:element name="reopened" minOccurs="0" nillable="true">
<xs:simpleType>
<xs:restriction base="xs:string">
<xs:maxLength value="1"/>
</xs:restriction>
</xs:simpleType>
</xs:element>
<xs:element name="eventcodesubcode" minOccurs="0" nillable="true">
<xs:simpleType>
<xs:restriction base="xs:string">
<xs:maxLength value="16"/>
</xs:restriction>
</xs:simpleType>
</xs:element>
<xs:element name="eventcode" minOccurs="0" nillable="true">
<xs:simpleType>
<xs:restriction base="xs:string">
<xs:maxLength value="16"/>
</xs:restriction>
</xs:simpleType>
</xs:element>
<xs:element name="eventcodedescription" minOccurs="0" nillable="true">
<xs:simpleType>
<xs:restriction base="xs:string">
<xs:maxLength value="80"/>
</xs:restriction>
</xs:simpleType>
</xs:element>
<xs:element name="timeeventaddedtosystem" minOccurs="0" nillable="true">
<xs:simpleType>
<xs:restriction base="xs:string">
<xs:maxLength value="16"/>
</xs:restriction>
</xs:simpleType>
</xs:element>
<xs:element name="autokey" type="xs:decimal" minOccurs="0" nillable="true"/>
<xs:element name="transstartdatetime" type="xs:dateTime" minOccurs="0" nillable="true"/>
<xs:element name="psychCategory" minOccurs="0" nillable="true">
<xs:simpleType>
<xs:restriction base="xs:string">
<xs:maxLength value="1"/>
</xs:restriction>
</xs:simpleType>
</xs:element>
<xs:element name="psychUrgency" minOccurs="0" nillable="true">
<xs:simpleType>
<xs:restriction base="xs:string">
<xs:maxLength value="1"/>
</xs:restriction>
</xs:simpleType>
</xs:element>
<xs:element name="beginDataEntry" type="xs:dateTime" minOccurs="0" nillable="true"/>
<xs:element name="eventCreationTime" type="xs:dateTime" minOccurs="0" nillable="true"/>
</xs:sequence>
</xs:complexType>
<xs:complexType name="odsCadUnit">
<xs:sequence>
<xs:element name="datasetname" minOccurs="1" maxOccurs="1">
<xs:simpleType>
<xs:restriction base="xs:string">
<xs:maxLength value="32"/>
</xs:restriction>
</xs:simpleType>
</xs:element>
<xs:element name="messagesequence" type="xs:decimal" minOccurs="1" maxOccurs="1"/>
<xs:element name="eventid" type="xs:int" minOccurs="0" nillable="true"/>
<xs:element name="eventrevisionno" type="xs:int" minOccurs="0" nillable="true"/>
<xs:element name="agencyeventnumber" minOccurs="0" nillable="true">
<xs:simpleType>
<xs:restriction base="xs:string">
<xs:maxLength value="12"/>
</xs:restriction>
</xs:simpleType>
</xs:element>
<xs:element name="agencyeventnumberrevision" type="xs:int" minOccurs="0" nillable="true"/>
<xs:element name="agencyid" minOccurs="0" nillable="true">
<xs:simpleType>
<xs:restriction base="xs:string">
<xs:maxLength value="9"/>
</xs:restriction>
</xs:simpleType>
</xs:element>
<xs:element name="unitid" minOccurs="0" nillable="true">
<xs:simpleType>
<xs:restriction base="xs:string">
<xs:maxLength value="10"/>
</xs:restriction>
</xs:simpleType>
</xs:element>
<xs:element name="carid" minOccurs="0" nillable="true">
<xs:simpleType>
<xs:restriction base="xs:string">
<xs:maxLength value="6"/>
</xs:restriction>
</xs:simpleType>
</xs:element>
<xs:element name="unitstatus" minOccurs="0" nillable="true">
<xs:simpleType>
<xs:restriction base="xs:string">
<xs:maxLength value="2"/>
</xs:restriction>
</xs:simpleType>
</xs:element>
<xs:element name="createdatetimestamp" type="xs:dateTime" minOccurs="0" nillable="true"/>
<xs:element name="dispatchgroup" minOccurs="0" nillable="true">
<xs:simpleType>
<xs:restriction base="xs:string">
<xs:maxLength value="5"/>
</xs:restriction>
</xs:simpleType>
</xs:element>
<xs:element name="xcordlastposition" type="xs:int" minOccurs="0" nillable="true"/>
<xs:element name="ycordlastposition" type="xs:int" minOccurs="0" nillable="true"/>
<xs:element name="location" minOccurs="0" nillable="true">
<xs:simpleType>
<xs:restriction base="xs:string">
<xs:maxLength value="240"/>
</xs:restriction>
</xs:simpleType>
</xs:element>
<xs:element name="mdtunitid" minOccurs="0" nillable="true">
<xs:simpleType>
<xs:restriction base="xs:string">
<xs:maxLength value="24"/>
</xs:restriction>
</xs:simpleType>
</xs:element>
<xs:element name="eventcodesubcode" minOccurs="0" nillable="true">
<xs:simpleType>
<xs:restriction base="xs:string">
<xs:maxLength value="16"/>
</xs:restriction>
</xs:simpleType>
</xs:element>
<xs:element name="eventcode" minOccurs="0" nillable="true">
<xs:simpleType>
<xs:restriction base="xs:string">
<xs:maxLength value="16"/>
</xs:restriction>
</xs:simpleType>
</xs:element>
<xs:element name="unithistorycomment" minOccurs="0" nillable="true">
<xs:simpleType>
<xs:restriction base="xs:string">
<xs:maxLength value="200"/>
</xs:restriction>
</xs:simpleType>
</xs:element>
<xs:element name="datetimeupdatestamp" type="xs:dateTime" minOccurs="0" nillable="true"/>
<xs:element name="autokey" type="xs:decimal" minOccurs="0" nillable="true"/>
<xs:element name="transstartdatetime" type="xs:dateTime" minOccurs="0" nillable="true"/>
</xs:sequence>
</xs:complexType>
</xs:schema>
Thanks

It is possible. I have used import in wsdl for clean definition. The same should apply to XSD also.
http://www.xfront.com/HideVersusExpose.html should be helpful in understanding the design aspects.
Eg.
<wsdl:definitions name="testAdapter" targetNamespace="http://xmlns.oracle.com/pcbpel/adapter/db/JCADBTest/Project1/testAdapter" xmlns:tns="http://xmlns.oracle.com/pcbpel/adapter/db/JCADBTest/Project1/testAdapter" xmlns:wsdl="http://schemas.xmlsoap.org/wsdl/" xmlns:plt="http://schemas.xmlsoap.org/ws/2003/05/partner-link/" xmlns:top="http://xmlns.oracle.com/pcbpel/adapter/db/top/testAdapter">
<plt:partnerLinkType name="testAdapter_plt">
<plt:role name="testAdapter_role">
<plt:portType name="tns:testAdapter_ptt"/>
</plt:role>
</plt:partnerLinkType>
<wsdl:types>
<schema xmlns="http://www.w3.org/2001/XMLSchema">
<import namespace="http://xmlns.oracle.com/pcbpel/adapter/db/top/testAdapter" schemaLocation="xsd/testAdapter_table.xsd"/>
</schema>
</wsdl:types>
Manoj
Edited by: Manoj Neelapu on Apr 16, 2010 8:32 AM

Similar Messages

  • I burned a playlist to a data CD using itunes 11 but what is best way to get it import it into another computer with itunes running?

    I burned a playlist to a data CD using itunes 11 but what is best way to get it import it into another computer with itunes running?  I get an "X" when trying to copy to the "automatically add to itunes" folder on the 2nd computer.

    ok... so i i just made sure all my music is all together in 1 folder and i am going to trash my old music folder that is in the itunes folder... and follow your directions - let itunes start w/new library and bring my music in. Well, last little hurdle/question. I noticed that the place where my itunes library is - on an external drive doesnt have much space left on it. The place where i gathered all my music together in order to start fresh - is a different external drive and has LOTS of free space on it.
    SO... i now would like to just keep all that freshly compiled clean music right where it is but in advanced preferences I want to point to that new folder on the drive that has so much more space. So... should i delete the old music then go into itunes with a blank library and change the folder in preferences - quit and go back in and then follow your steps to loading my music? If i change the setting of where itunes looks for the music - that doesn't wipe out the old stuff... it just mixes it all up together right? I dont want that!!
    i promise this is the last question - i would love to fix this problem tonight and just be DONE!
    Thanks in advance for your patience and knowledge!!
    Lisa

  • Import iCal into another program

    Is it possible to export a calendar that's in iCal and import it into another program? In other words is there a way to share my calendar with a non-mac user other than through a .MAC account?

    With the release of iCal 3 in Mac OS X 10.5 and iCal Server with Mac OS X 10.5 Server in the near future, this will be possible, as iCal has been rewritten to conform with the the emerging CalDAV standard. It's not possible to do using iCal at the moment, as it does not have the capability to host read-write calendar objects.
    There is an open-source version of the iCal Server: Darwin Calendar Server.
    You won't need .Mac to do this, but in any event, .Mac cannot interact with computers not running OS X, eliminating the one you want to share calendar data with.

  • [JS][CS3] Import ai into another ai

    Hi,
    My goal is to import [rather than place] another ai into the target ai, with all attributes of the objects + layering preserved.
    There are two shortcut methods available:
    a) groupItems.createFromFile(srcFile) - but this creates over-complicated layering, creates additional clipping masks, and other garbage.
    b) placing an ai - this, in turn, flattens the whole imported contents into one object which, for example, disables further text replacements.
    Neither is an option for me. Looks like one must loop recursively with the duplicate() method applied to all pageItems, but maybe someone has been up to something more clever?
    regards,
    m.

    try67,
    I read your post. So, when you place your ai using my code and position it by:
    pi.position = [0,0]
    it gets offset?
    createFromFile - unless I'm missing something, this method is completely useless to me (in gentle words) due to the above-mentioned reason. But other methods destroy many formatting attributes, as well as relative positioning of the items. Good it works for you!
    happy coding
    m.

  • Import project into another shema (Oracle Database)

    I'm going to import project which use schema of local database. Is there any quick solution to change this schema to remote database without another mapping datastore in interface?

    Yes your understanding is correct. No need to touch the interface/models/datastores/logicalschemaname etc.
    You just need to make sure that the table name and structure of your remote database must be same as the local database.
    Thanks
    Bhabani
    http://bhabaniranjan.com

  • GarageBand project won't import correctly into another project

    I created a long podcast project using GB 3.04. The project consisted of one voice track. I decided to break it into two projects so that I could make it into two episodes. I created a new project and dragged part one to it. This worked just fine -- I was able to import other audio files and create my finished episode. However, when I created a new project for the second episode and dragged part two to it, the track was truncated to a fraction of its size and it contained no sound. The original of part two plays normally when I open it, but several attempts to import it as a track have produced the same result. Is there some setting that I accidentally tripped, or is the project file just corrupt? I don't want to have to re-record the track, but I've resigned myself to the possibility. Thanks.

    Sorry, guess I didn't explain myself well. The original GB project consisted of one voice track that was around 45 minutes long. I decided that I didn't want the podcast episode to be that long, so I split the track region into two regions. Using the Edit -- Copy command, I created a separate GB project and pasted the second of the two regions into it. I tested the new project to make sure that it played properly, then saved it, re-opened the original, and deleted the second region (since it was now in its own project file). I named the original "part 1" and the new project "part 2". I then created a 3rd project file, to which I dragged the part 1 file and added music, imported mp3s from other podcasts, etc. This went off without trouble. However, when I attempted to do the same thing with part 2, I ran into trouble. I dragged the file for part 2 into a new project file, but instead of 18 minutes of voice recording, I get 3 seconds of dead air. If I open the file for part 2, it plays normally. I don't think I did anything differently with the two regions, but it wouldn't be the first time I've changed a setting or something without realizing it.
    Thanks for your interest.

  • How to Import Metadata into another projec

    I am using OWB 10.2. Is there a way to specify which project you want the meta data to be imported to? For instance, I have projectA and Project B. I want to import the meta data into project B. Is this possible?

    I think that idea of user533778 (renaming projects) should work.
    But with my note:
    1) export project A
    2) rename project A to A1
    3) rename project B to A
    4) import project from file (and don't forget set importing option!!!)
    5) rename projects backward (A->B, A1->A)
    Try to set import options:
    - "Import option" to "Update metadata (replace existing objects and create new metadata)"
    - "Match by" to "Names"
    Oleg
    Message was edited by:
    tsiboleg
    Message was edited by:
    tsiboleg

  • Importing schema into another schema using enterprise manager on 11.2.0.3

    I am using OEM database control not Grid Control.
    I am exporting a schema using OEM Data Movement and I want to REMAP it to another schema.
    But it does not populate the Destination Schema with any other schemas other than the Source Schema.
    I only get one item in Destination Schema dropdown which is the same as the Source Schema.
    Any ideas why the Destination Schema dropdown is not populated with all the schemas?
    This is on Windows 2008 R2 64-bit
    This worked fine in 10g versions.
    Edited by: user563987 on Feb 20, 2012 1:48 PM

    I have confirmed with Oracle support that it is a bug which was suppose to have been fixed in this version but obviously is not. They have opened a new bug.
    When you are you are on Grid Control 11.2.0.5? I am not seeing this version? Or am I missing something?
    Thanks.

  • My mac is no longer supported by firefox. Can I recover my bookmarks and import them into another browser?

    The detail is in the question

    FF update to 13 and now you can't open ? sorry about that.
    1. Download (with your safari) a copy of 3.6.28 from
    http://www.mozilla.org/en-US/firefox/all-older
    2. '''Trash''' the current Firefox application to do a clean install.
    3. Install the version that you have downloaded (3.6.28).
    Do not select to remove your personal data, your profile data is stored elsewhere in the [http://kb.mozillazine.org/Profile_folder_-_Firefox Firefox Profile Folder], so you won't lose your bookmarks or other personal data.
    see also: [https://support.mozilla.org/en-US/kb/install-firefox-mac?redirectlocale=en-US&redirectslug=Installing+Firefox+on+Mac Installing Firefox on Mac]
    thank and sorry again

  • (CS4) Copy and paste video/sound into another project, sound won't export

    Hello Adobe community
    I am working on a TV show for a community television network in Australia.  I created the opening credits in a seperate project to the edited footage of the show, because my computer is struggling with the size of all the files. So I have two project files. Recently I copied and pasted the edited footage of the show into the project where I have the opening credits (by highlighting all the footage, right clicking and going to copy, then opening the Project with the credits sequence, right clicking and selecting paste). This seems to work. I can hear the sound and continue tweaking and editing. However, when I  export the project, the sound from the footage I have copied into the project can't be heard. I can hear the sound from the credit sequence, but not the sound that has been copied into the project (although on the timeline of the project, I can hear the sound... This problem only presents itself after export).
    I have tried doing the opposite... Copying and pasting the opening credit sequence into the project with the edited footage, and I get the same problem. It doesn't seem to matter which file type I export as. I have tried .AVI and .MPEG2, with the same results.
    I am using Windows 7 64bit version, and Premiere CS4. I have a mixture of .MTS, .WAV and .MP3 audio files in the footage that I copy and paste across. None of them are exporting.
    I have tried moving the copied and pasted audio files on to the same audio tracks as the sound already in the project, but this doesn't work either. The original sound will export, then when it gets to the copied and pasted sound nothing can be heard.
    I have included some screen shots to illustrate the problem below. If you have any questions please let me know.
    Thanks for your time! I have a looming deadline so your help is much appreciated.
    -Robert

    Thanks for your replies everyone. Sounds like cut and paste is not an option. Wow, I didn't realise how much trouble I'd be causing for myself by having different parts of the show in different projects.
    I am reluctant to export the project then import it into another project, because I do not want to lose quality through compression as Ann suggests.I don't know what you  mean, Ann, when you say "Dont think CS4 could import single sequence (forgot)."? Jim, I'm also unsure what you mean when you say "That's what UT is for"?
    However, I went to File/Import/Import Entire Project, and imported the project this way. Then I dragged the little folder icon that appeared in the top left hand corner of the screen onto the timeline. However, when I did this, a few problems occured. Firstly, the original project had footage and audio on many different tracks, but when I imported the project nearly all of the video and audio was on to the one track. Secondly, instead of going for 10 minutes, the imported footage went for a few hours. Thirdly, the sound and images were all out of sync. I have included two images to illustrate this problem:
    Above is the original project. As you can see, I have audio and video on many different tracks, and lots of cuts.
    This is what the project looked like after I had imported it into another project. Nearly everything is on one track, the footage goes for a few hours now (instead of 10 minutes) and the video and sound are all out of sink.
    Then I tried File/Import/Import Selected Sequence. The only sequence I had the option of importing was Sequence 1. I did this, then dragged the folder icon onto the timeline, and I had a similar problem to before. Everything on one track, footage out of sync and going for a few hours.
    Is there a way to import a project and maintain all of the editing (the cuts, the multiple tracks, etc.) as they are in the original? I have spent many hours editing both the footage and the opening credits, so I really don't want to lose all this work when I import one into the other. The good thing about copy and paste is that it maintains all of the original cuts and editing. Shame it is so unreliable.
    Thanks heaps for all your help!
    -Linus

  • Subtitles, extracting from old, and importing and adding another to a new dvd

    I need to add spanish subtitles, in addition to the already existing English subtitles, to a redited timeline. The English subtitles are made in DVD Studio Pro.  I have read the DVD Studio Por manual. I DO NOT find the answers I need in there. I have done the Apple DVD SP Training Series. That does not even come remotely close to answering these questions.  I tried extracting them with DVDSP Subpick, it says Wrong Code. I tried Subtitle Xtractor and it does not open item in item description, reporting only "Error while loading file: Error in Line 1 Error in NODe". Though it says one can open just the subtitle stream, I dont see any directions to tell one how to open just the subtitle stream either.  I tried Text Up 2-1 and it says  "file error wrong type" .
    I cannot fins assets timecode as it says to do iin the manual. I foloow the directions, right click on the timeline but it does not show me any shortcut menu with assets code on it.  I cannot find any directions as to how to save teh subtitles to STL, or txt for that matter. I cannot tell how I have saved this DVDSP  subtitle stream, and I have already burned the dvd.  I need to add spanish subtitles and I would love to not have to start over from scratch, but so far, it looks like I will have to do  that. I am pressed for time.  Any help from anyone?

    There is no method of exporting a subtitle stream to a STL file from a DVD Studio Pro project file.
    You can export the stream as a DVD Studio Pro asset and import it into another project; however, you would have to manually replace the English with corresponding Spanish.  Furthermore, you are likely to find that the Spanish subtitles do not correspond card for card with the English due to inherent differences in the languages.
    Ideally, you would have acces to the English STL document or, better yet, a translation spreadsheet (if one existed in the first place) that you could reformat for import into DVDSP.
    -Warren

  • Imported xsd's not installed in Service Registry

    Folks:
    I am using the AIA2.2 vmware image. I am wondering why and how core xsd's are not searchable in Services Registry (Business Service Control). First, the import statement of an xsd like "invoice.xsd" has a realtive path in it like "../../../../CommonComponents.xsd". Obviously, this is invalid when you import the schema into a JDEV project. One would think that the xsd's would be available in a services registry and have a http location to be of any use so JDEV can add an invoice schema to a project and be able to build a mapping. Without the ability to see these imports, JDEV chokes on the invoice.xsd and you cannot do a simple thing like transform one variable into another.
    What am I doing wrong here? Why are things like "CommonComponents.xsd" not searchable in the services registry? This looks like a big oversight because I wonder how anyone can use a xsd that has imports which are not visible to JDEV (at least without hacking the file system to find the core component). The steps to rep[roduce are simple:
    1) Create a BPEL project (Asynchronous)
    2) Create a UDDI connection to Services Registry (BSR)
    3) Add any xsd that imports "CommonComponents.xsd" to your BPEL project
    4) Try to change the payload of the request or response message for the BPEL project to one that takes my imported xsd such as invoice
    5) JDEV will give you an error because it does not have access to the imported schema and thus cannot interpret the types correctly and therefore you cannot do step 4 above or even do an XSLT mapping.
    Thanks                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                       

    So, my oversight -- you can view these xsd's properly by simply accessing them via Apache url which is the better way to go. They are, in fact, in the registry albeit the search feature does not seem to function properly.
    In any case, just putting this in the thread so others find it easier to do AIA development from the start.

  • White spaces in token area of xsd - error when importing xsd as ext. def.

    Hello,
    we are not sure about this issue.
    This is how an area of the xsd (unfortunately an xsd of a published standard message format of german government!)
    is defined that we need to import as an external definition:
      <xs:complexContent>
          <xs:restriction base="tns:WLT_String">
            <xs:sequence>
              <xs:element name="content">
                <xs:simpleType>
                  <xs:restriction base="xs:token">
                    <xs:enumeration value="Allgemeine Fahrerlaubnis (§ 5 StVZO) " />
                    <xs:enumeration value="Dienstfahrerlaubnis-Klassen (bisheriger § 5 i. V. m. §  14 StVZO)" />
                    <xs:enumeration value="Dienstfahrerlaubnis-Klassen (§ 6 i. V. m. §  26 FeV)" />
                    <xs:enumeration value="Dienstfahrerlaubnis-Klassen Bundeswehr (bisheriger § 5 i. V. m. §  14 StVZO)" />
                  </xs:restriction>
                </xs:simpleType>
              </xs:element>
            </xs:sequence>
            <xs:attribute name="wl_fassung" type="xs:string" use="optional" default="0" />
            <xs:attribute name="wl_version" type="xs:string" use="required" fixed="1.1" />
          </xs:restriction>
        </xs:complexContent>
    So we can see this defintion:
                  <xs:restriction base="xs:token">
    which means per definition:
    xsd:token: normalizedString w/o 2 white spaces succeeding and w/o white spaces at start and end.
    But you can see that the enumerations contain 2 white spaces succeeding or white space at the end.
    Impporting this xsd as an external definition into PI will fail.
    So it looks like PI is doing a very restricitve check of the values against the token definition.
    We would expect that any xml editor would do the same check but behaviour of xml editors is different means accepting this xsd.
    So is it correct that PI is more restrictive at the latest during activation of such an xsd?
    This results in the situation that official standard xsds will be used by governement with other tools but only interfaces with PI will fail..
    Any ideas how to run with that issue?
    Regards
    Dirk

    Hello,
    Your issue looks like the one described in this page:
    http://www.xmlplease.com/normalized
    For reference purposes, here is the link for the supported schema and wsdl in PI 7.1
    http://www.sdn.sap.com/irj/sdn/go/portal/prtroot/docs/library/uuid/00a9a425-1769-2a10-9196-bd8eeed72e4c
    As a temporary work around, you can try editing the xsd by removing the spaces before importing it into PI.
    Hope this helps,
    Mark

  • Error occured while importing xsd.file(Name contains invalid characters: -

    Hello,
    i muss import xsd.file.  while importing this error occured:
    İFMEXTDEF CCTS_CCT_SchemaModule-2.0 | http://example.org/XXX/XXX/OUT/NOZ (SC_NOZ V001 of example.org):
    Name contains invalid characters: - .
    Only a(A)-z(Z), 0-9, and "_" are permitted
    This xsdfile name is CCTS_CCT_SchemaModule-2.0 but PI doesn't  accept "-". But i muss use this file because this file is standart. What can i do for this?
    Thanks
    Nurhan
    Edited by: Nurhan on Oct 18, 2011 11:22 AM

    HI,
      PI doestnt support special characters like '-'. first you need to change the file name as you specify '-'. to remove that and you have to give '_'. then uyou have to impot >XSD file into ESR under ExternalDefination.
    i hope this will help you.
    regards,
    ganesh.

  • Importing XSD to Create a Msg Type.

    Hi All,
    Can anyone plz tell me how to create a msg type based on external XSD.I hav gone thru help.sap.com. there it is mentioned for Data Type.It is given that to go for Tools->Import XSD.but i din't find any such option there.Instead, i hav gone for creating External Definition and was successful in creating a DataType using External Definition.But to my surprise, the created Data type is not listed under Data types.Instead it is listed under External Definitions. This is for Data Types.But i need for Message Type.Plz help me out as i hav very severe dead lines.
    Thnx in Advance.
    Anil.

    Hi Anil,
    You could create Message Type (MT) in 3 ways :
    1. Manual: Create Data Type (DT) & Create MT refering to it.
    2. Import XSD as DT: Create a new DT by giving a name. The structure can be imported from a XSD. Tools-> Import XSD. (Note: the name of DT here should match the 'complex type' name in XSD). Then create a MT refering to this DT.
    3. Import XSD as External Definition: An XSD can be imported into External definitions, and this creates the MT directly, and no DT required. They appear only under 'External Definitions'. Note: This XSD should contain a 'complex type' definition, which stands for DT. And also a root element declaration refering to this type, which stands for MT. In this case, we can have one XSD containing multiple DTs and MTs within it. All these MTs can be seen under the 'Messages' tab within an External Definition.
    Once an MT is created in one of these 3 ways, the other concepts of Interfaces, mappings etc. remain the same.
    Hope this gives the differences, and clarifies your concerns.
    regards,
    Pops V

Maybe you are looking for

  • Create table statement in a pl/sql procedure

    Hi all. I have a problem in Oracle 10g. First of all I must say that I'm new in oracle 10. I have a stored procedure in which I have the instruction "create table name_table as select col1,col2,col3,..ecc from table1, table2 where table1.col1 = table

  • CHANGE GENERAL LEDGER ACCOUNT IN TCODE MIRO

    Hi to all I need activate for INPUT, the field GENERAL LEDGER ACCOUNT. I can't do it by field status, and I thinking do it by abap, Wich is the best form by do it this task ?? Via enhancement ? badI ?? field exit ?? Thank you by their aids. Regards D

  • Lettters not typing correctly help!

    hard to otype as probglem, some letters no go, others wrong lettter/s. Virus? Mac issue? Mac 6 months old only.Help appreciated.   

  • Error when trying to export to excel

    I have been having a problem with some of the dashboards I have been creating that export data to a csv file.  I used the process described here: http://biguru.wordpress.com/2008/04/26/export-data-out-of-your-xcelsius-dashboards/.  When I trigger the

  • Early 2008 Mac Pro

    For some reason following booting up my Early 2008 Mac Pro running 10.8.2 Mountain Lion will NOT recognize my external hard drive connected via USB nor will iTunes 10.7 recognise both my iPhone 4 & iPad 2. I upgraded to Mountain Lion yesterday and ev