Need help to interface InRevium's TB-FMCH-HDMI4K Board with ZC706 Eval board

We (PathPartner Technology Consulting Services) have recently procured the above-mentioned card(from Inrevium) for supporting HDMI display (HDMI2.0 for 4K) for our FPGA-based Video Codec solution.
We are implementing this solution on ZC706 board (Zynq-7045 based)
We did get access to reference designs from Xilinx’s HDMI Reference Design lounge wherein the Reference design is targeted for KC705 evaluation board. It seemed feasible to implement the same design on ZC706 board since both the devices in KC705 and ZC706 use the same XCVR.
While implementing the design on ZC706 board, we could not generate bitfiles due to DRC violations. We did set the pin assignments made in XDC file targetting ZC706 (compared to KC705).
The DRC errors are:
[DRC 23-20] Rule violation (RTSTAT-2) Partially routed net - 1 net(s) are partially routed. The problem bus(es) and/or net(s) are IPI_INST/hdmi_ipi_i/hdmi_gt_0/inst/drurefclk_to_qpll.
[DRC 23-20] Rule violation (UCIO-1) Unconstrained Logical Port - 2 out of 49 logical ports have no user assigned specific location constraint (LOC). This may cause I/O contention or incompatibility with the board power or connectivity affecting performance, signal integrity or in extreme cases cause damage to the device or the components to which it is connected. To correct this violation, specify all pin locations. This design will fail to generate a bitstream unless all logical ports have a user specified site LOC constraint defined. To allow bitstream creation with unspecified pin locations (not recommended), use this command: set_property SEVERITY {Warning} [get_drc_checks UCIO-1]. NOTE: When using the Vivado Runs infrastructure (e.g. launch_runs Tcl command), add this command to a .tcl file and add that file as a pre-hook for write_bitstream step for the implementation run. Problem ports: DRU_CLK_P_IN, DRU_CLK_N_IN.
[Vivado 12-1345] Error(s) found during DRC. Bitgen not run.
Delving further:
The HDMI 2.0 Design requires GT differential clock pairs for 3 of its clocks, the pins are as mentioned below:
In the reference design that is based on the KC705 board, these pins are connected to the approriate GT Differential clock pairs as below:
set_property PACKAGE_PIN C8 [get_ports HDMI_RX_CLK_P_IN]  (FMC_HPC_GBTCLK0_M2C_P on KC705)
set_property PACKAGE_PIN G8[get_ports DRU_CLK_P_IN] (SMA_MGT_REFCLK_P on KC705)
set_property PACKAGE_PIN E8 [get_ports TX_REFCLK_P_IN] (FMC_HPC_GBTCLK1_M2C_P on KC705)
But in case of ZC706 we could find only 2 GT pairs: FMC_HPC_GBTCLK0_M2C and FMC_HPC_GBTCLK1_M2C that corresponds to pins AD10 and AA8 respectively.
We could not find another differential clock pin on HPC connector with similar properties for DRU_CLK_P_IN.
We have set the constraints as follows:
set_property PACKAGE_PIN AD10 [get_ports HDMI_RX_CLK_P_IN] 
set_property PACKAGE_PIN AA8 [get_ports TX_REFCLK_P_IN]
and
set_property PACKAGE_PIN AF10 [get_ports DRU_CLK_P_IN]: Need to find an appropriate pin on ZC706 board
Please refer to the attached .xdc file that we are using for the bit file generation on ZC706 board (we have retained the file name similar to one used for KC705 in the reference design).
It will help us if we can find an alternative pin for the DRU_CLK_P_IN on ZCZ706 board
Another alternative would be: We do not need the RX port. Hence, if RX can be completely disabled, the RX CLK pin can be used for DRU_CLK_P_IN.  Need to know how RX can be disabled.
Looking forward for guidance in resolving the above-mentioned...
Thanks and Regards
Lalith
 

Hi Satish,
For the TB-FMCH-HDMI4K Card that we have procured from InRevium, we have got a reference design that is targetted for KC705 board. We have a ZC706 board and intend to get this card operational on ZC706. It seemed feasible since both the devices in KC705 and ZC706 use the same XCVR.
The HDMI 2.0 Design requires GT differential clock pairs for 3 of its clocks, the pins are as mentioned below:
In the reference design that is based on the KC705 board, these pins are connected to the approriate GT Differential clock pairs as below:
set_property PACKAGE_PIN C8 [get_ports HDMI_RX_CLK_P_IN]  (FMC_HPC_GBTCLK0_M2C_P on KC705)
set_property PACKAGE_PIN G8[get_ports DRU_CLK_P_IN] (SMA_MGT_REFCLK_P on KC705)
set_property PACKAGE_PIN E8 [get_ports TX_REFCLK_P_IN] (FMC_HPC_GBTCLK1_M2C_P on KC705)
But in case of ZC706 we could find only 2 GT pairs: FMC_HPC_GBTCLK0_M2C and FMC_HPC_GBTCLK1_M2C that corresponds to pins AD10 and AA8 respectively.
We could not find another differential clock pin on HPC connector with similar properties for DRU_CLK_P_IN.
We have set the constraints as follows:
set_property PACKAGE_PIN AD10 [get_ports HDMI_RX_CLK_P_IN] 
set_property PACKAGE_PIN AA8 [get_ports TX_REFCLK_P_IN]
and
set_property PACKAGE_PIN AF10 [get_ports DRU_CLK_P_IN]: Need to find an appropriate pin on ZC706 board
Please refer to the attached .xdc file that we are using for the bit file generation.
It will help us if we can find an alternative pin for the DRU_CLK_P_IN on ZCZ706 board
Another alternative would be: We do not need the RX port. Hence, if RX can be completely disabled, the RX CLK pin can be used for DRU_CLK_P_IN.  Need to know how RX can be disabled.
Looking forward for your guidance in resolving the same
Thanks and Regards
Lalith
 

Similar Messages

  • Need help for interface to process employee expenses

    need help for interface to process employee expenses
    i have used the interface tables as
    AP_INVOICES_INTERFACE
    AP_INVOICE_LINES_INTERFACE
    are they the right one
    is there a concurrent program or any API for doing interface

    hi,
    i think what ever table are using for interfacing expenses correct.
    but you have to enter invoice type as expense report.
    Regards,
    Srikanth

  • Need help. I am running a 27 in imac with 16 gigs of ram. Photoshop runs really fast, except when opening files. It takes 5-10 minutes to open even a small file of 1 meg. I cleaned and validated all the fonts and removed all questionable fonts. Reset pref

    Need help. I am running a 27 in imac with 16 gigs of ram. Photoshop runs really fast, except when opening files. It takes 5-10 minutes to open even a small file of 1 meg. I cleaned and validated all the fonts and removed all questionable fonts. Reset preferences and still have problem. Slow to open and in force quit "Photoshop not responding" At this point should I uninstall and start over.

    What are the performance Preferences?

  • Need help on displaying the callers name on ip phone with cme using external directory

    Hello Guys,
    Need help on displaying the callers name on ip phone with cme while using external directory
    Thank you,
    Khaja

    Thanks for your help,
    Does it 100% work with CME. We use SIP and 2ring for external directory?  Thanks you.

  • HT4865 I need help findin my sons iPod n I set up with different iCloud account n never installed find my device n location map is off how do I locate device

    I need help findin my sons iPod n I set up with different iCloud account n never installed find my device n location map is off how do I locate device

    Jenniferp27.jp wrote:
    ...n never installed find my device n location map is off how do I locate device
    Then you cannot locate it electronically.
    What to do if your iOS device is lost or stolen
    http://support.apple.com/kb/HT5668

  • HT201441 i bought my iphone secondhand, and the previous owner didnt deltete his find my iphone account, so when i restored it i know cant access my phone, i need help as i have no way of getting intouch with the previous owner whatsoever :/ help!

    i bought my iphone secondhand, and the previous owner didnt deltete his find my iphone account, so when i restored it i know cant access my phone, i need help as i have no way of getting intouch with the previous owner whatsoever :/ help!

    Welcome to the Apple community.
    Unfortunately, you cannot do very much with your phone unless you get assistance from the previous owner, they should either provide you with the password to unlock it or remove their account from the phone entirely remotely through iCloud.com > Find My Phone.

  • Help! I have had my iMac G5 fitted with new Logic Board but it doesn't work

    Help! I have had my iMac G5 fitted with new Logic Board but it doesn't work
    I have just had my iMac G5 First Gen 1.8Ghz fitted with a new Logic Board under the Apple Repair Extension Programme for iMacs.
    I got the iMac home from the repairers and switched it on and everything was working fine for about 2 hours and then all of a sudden the Error Page came up on the Screen (with a big On/Off Switch Logo) and it said I had to shut down.
    So I shut it down waited a few minutes and then tried to re-boot it but nothing happened. All that happended was the Power Light in the Right hand corner came on..but nothing else....
    Help! Any ideas what to do please?

    If you have an external firewire drive (it has to be firewire, USB won't boot on a Rev A), plug it in to the firewire port and turn it on, then boot your iMac and see if it shows on the screen.
    Have you tried to hit the eject (up arrow, the last button on the top row of the keyboard) button when you boot, perhaps the repairers left a disc in the drive? Then try and put your install disc in.
    Miriam

  • Hello i need help, i have a macbook pro 13 late 2011 with 4gb of ram, 500gb hdd and i5 intel processor is really slow

    hi i need help, im new on mac, i bought the las year a macbook pro 13's  late 2011 with 4 gb of ram and 500 gb of hdd, i5 proccesor of 2.4. the mbp was working ok, until yesterday, i was working on keynote and then,  it started to getting really slow, then it frozzen, and the little umbrella start to appears,i tried every thing, i shut down the power button, wait a minute then i power up, and nothing, i run the ccleaner, i check the hdd status, the ram, and everything was ok,  i  even  boot  up the system like fabric and still nothing i got the umbrella everything than i run an application. please please help me.
    what i have to do?????????????

    Try a Safe Boot to clear the dyld_shared_cache (dynamic loader cache)
    SafeBoot  http://support.apple.com/kb/HT1564
    Safe Boot, which automatically rebuilds this cache (among other things).

  • Need help in interfacing Java and MSMQ.

    Dear Java Guru,
    I am migrating my application from Microsoft Visual Basic to Sun's Java. All the presentation, logic and data layers are already done in Java but we need to retain MSMQ feature as our application server and browsers are using Microsoft OS.
    I have check with Microsoft newsgroups and the sample program and libraries they provide requires the use of VJ++. But I do not want to use VJ++ as I am using pure Java. I would be grateful if you can provide me some sample program and the libraries that I need to include in my classpath. Please advise how to interface Sun's Java to MSMQ in this context and any documentation will be helpful.
    URGENT !
    Regards,
    yckok.

    Hi,
    to use MSMQ in java you should embed MSMQ ActiveX in your java application, which gets messages from one queue type and transfer to another type. If you get my freeware API njawin (njawin11.zip) at http://www.simtel.net/pub/winnt/java/ you will find there an example with MSMQ COM control.
    Vitally

  • Need help on Interface Proxies

    Hi All,
    We are working on Interface integration in which we are integrating EMA with SAP CRM.
    EMA is responsible for generating new leads and feeding them into SAP CRM system.
    Through EMA lead interface, leads created in EMA are replicated into SAP CRM and new leads are created in SAP CRM. The lead data is published by EMA into Sonic bus and SAP CRM will subscribe to this data through SAP XI.
    Whenever a lead is passed from EMA, it contains Account and Contact data, When this lead is sent to SAP CRM validations are made if this account and contact already exists in SAP CRM.
    If contact already exists and does not have any associated account, either a new account is created or an account is searched from the whole SAP CRM system which matches EMA Account and then a relationship is maintained between Account and Contact.
    We are now facing some issues in the above scenario:
    1.     Orphaned Contact Created by EMA without Associated Account or Lead : When a lead is created, there is no relationship maintained between Contact and account. This issue is happening once in a while and when the same xml is taken out and sent individually or independently, the relationship is getting created. If I debug the same xml also, the relationship is getting created.
    In this case when lead is opened through UI, we could see the contact associated to lead but when Contact is opened through UI, we could not see lead associated to Contact.
    2.     EMA Interface Created Exact Duplicate Contacts and Accounts: When two leads with similar description, similar Account and Contact are passed at the same time, duplicate Contacts and Accounts are getting created. That is when the first lead is created, it ideally should create an account and contact, and when second lead is passed it should pick up the existing contact and account created for the first lead. But this is not happening. In this case also, if we take the payload and debug it, we could not find any issue.
    3.     Can we send some email alert from the proxy, whenever such kind of issues arise?
    Any pointers on the above issues would be of great help.
    Thanks and Regards,
    Sneha.

    HI Sneha
    1. I think relationship between the account and lead exist in the logic you have written in ABAP and not in PI. Now if you have
    issues in creating the account or lead relationship in XI then definately you can make modifications in mapping.
    Now UI if you are able to see the Lead associated to contact and the other way round is having issue then check with the
    Dialogue program you are using for this to fetch the data.
    2. this again is a issue that need to be resolved in ABAP code
    3. yes you can raise alerts from ABAP proxy using SALRT_CREATE_API
    Check this
    How to raise alerts from ABAP Proxy
    You need not to have BPM only check the use of API. Moreover you can directly use the mailing API with CRM system to do this
    Thanks
    Gaurav

  • Need help on interface to store images into oracle database using forms 6i

    i am using forms 6i and oracle 8i. i am able to store .jpg and other picture files into data base.now my problem is how show and store the CAD/CAM drawings using forms. can any one help me please. is higher version is providing any new facility for this purpose?
    thank you

    thanks for your help.
    i am using client/server based application and cad/cam software was also installed on it. helper application means, the cad/cam software should provide some controls to view the drawings in other applications? am i correct.

  • Need help in query to have all items in sap with PO data on order, date

    Hello Everyone,
    I need some help in writing a query to show all items in sap db to have itemcode, itemname, onhand, sellable(onhand-committed), on order, and the delivery date when that time should be received.  I need to know what items are regular items, which ones are master and components.  For the master I need to have the deliver date of the PO for the component.
    I have written this.
    SELECT DISTINCT TOP (100) PERCENT T1.ItemCode, SUM(T1.Sellable) AS Sellable, T1.OnOrder, MAX(T1.Docduedate) AS DeliveryDate, T1.WhsCode
    FROM         (SELECT     ItemCode, Sellable, OnOrder, '1/1/1900' AS Docduedate, WhsCode
                           FROM          dbo.V_RAZ_StoreInv
                           UNION ALL
                           SELECT     TOP (100) PERCENT ItemCode, '0' AS Sellable, Quantity AS Onorder, DocDueDate, WhsCode
                           FROM         dbo.V_RAZ_OPENPOSDATA
                           ORDER BY ItemCode) AS T1 LEFT OUTER JOIN
                          dbo.V_RAZ_ItemInfo ON T1.ItemCode = dbo.V_RAZ_ItemInfo.ItemCode
    GROUP BY T1.ItemCode, T1.OnOrder, T1.WhsCode, dbo.V_RAZ_ItemInfo.OnHold
    HAVING      (dbo.V_RAZ_ItemInfo.OnHold = 'n')
    ORDER BY T1.ItemCode, T1.WhsCode
    and for the v_raz_openposdata I have the following query
    SELECT     dbo.OPOR.DocNum, dbo.OPOR.DocStatus, dbo.POR1.WhsCode, dbo.POR1.ItemCode, dbo.POR1.Dscription, dbo.POR1.Quantity, dbo.POR1.OpenQty,
                          dbo.POR1.LineStatus, dbo.OPOR.DocDueDate
    FROM         dbo.OPOR LEFT OUTER JOIN
                          dbo.POR1 ON dbo.OPOR.DocEntry = dbo.POR1.DocEntry
    WHERE     (dbo.OPOR.DocStatus = 'O')
    Any help is greatly appreciated. 
    Right now I do get the delivery date for regular items and components but the master sku for the component all of them have 1/1/1900. 
    Thank you

    Thank you for replying Gordon.  I did not remember I had asked this before.  I no longer have access to the other account. 
    What I need on the query is that I want  a list of items with the on order quantity and when we are expecting this order to be received.  The receiving date is based on the PO delivery date.  The trick here is that  I need to Master sku to show the delivery date of the component sku.  In my scenario all components have the same delivery date for the Master sku.  If there are mulitple delivery dates because each warehouse is getting them on a different date then I want to get the delivery date for that warehouse.
    Let me know if this is possible and if yes please guide towards a query for it.
    Thank you.

  • I need help to open an .idml file after translating it with Dejavu!

    Hi everybody,
    I'm not used to work with InDesign, I only have to check a big problem: I'm a translator and have been working the last 4 weeks on a InDesing-Projekt from German into Italian. I changed the format of the files from .indd into .idml an imported the three files into my Computer-Assisted-Translation Ptrogram DejaVu. I've been working well till now. Now I tried to export the first File and wanted to open the .idml file with Indesign... The program began to work, but then came a warning "syntax error". Please, is there anybody who knows the program better than I (the agency which should know everything about this kind of files gives up at the first problem)??? This is very important to me. I speak german an italian very good, my english is rudimental....
    Thank yopu and have a good day (tel. +49-(0)9208 588316)
    Alessandra

    Sorry for the delay, I had a lot of other stuff going on today. This kind of work is somewhat laborious so I put it off.
    I needed to send you the file, because I don't understand what you mean with opening the zip file and so on...
    An IDML file is just a .ZIP archive of a bunch of XML files.
    In this case, it turns out the problem is pretty obvious. Some story files, instead of containing valid XML, contain "MATTONELLE".
    Like this, in the Terminal on Mac OS X, but substitute as appropriate for Windows:
    $ ls -ld *idml
    -rw-r--r--@ 1 user    staff  4986668 Jun 16 12:26 KK_Preisliste 2011_1-120.idml
    -rw-r--r--  1 user    staff  4892339 Jun 17 00:57 KK_Preisliste 2011_1-120_it.idml
    $ mkdir KK
    $ cd KK
    $ unzip ../KK_Preisliste\ 2011_1-120_it.idml
    Archive:  ../KK_Preisliste 2011_1-120_it.idml
      inflating: Stories/Story_u1fb7.xml 
      inflating: Stories/Story_u2752.xml 
      inflating: Stories/Story_u2ac2.xml 
      inflating: Stories/Story_u31ce.xml 
      inflating: Stories/Story_u51ea.xml 
      inflating: Stories/Story_u208c.xml 
      inflating: Stories/Story_u3787.xml 
      inflating: Spreads/Spread_u34f2.xml 
      inflating: Stories/Story_uc2f.xml 
    $ ls -l Stories/ | head
    total 52712
    -rw-r--r--@ 1 user    staff     4823 Jun  7 21:24 Story_u1009.xml
    -rw-r--r--@ 1 user    staff     6259 Jun  7 21:24 Story_u1025.xml
    -rw-r--r--@ 1 user    staff     4862 Jun  7 21:24 Story_u1040.xml
    -rw-r--r--@ 1 user    staff     4874 Jun  7 21:24 Story_u1061.xml
    -rw-r--r--@ 1 user    staff     4854 Jun  7 21:25 Story_u107d.xml
    -rw-r--r--@ 1 user    staff     1638 Jun  7 21:25 Story_u1093.xml
    -rw-r--r--@ 1 user    staff     1033 Jun  7 21:20 Story_u10aa.xml
    -rw-r--r--@ 1 user    staff      865 Jun  7 21:20 Story_u10c0.xml
    $ ls -l Stories/ | sort  -k 4  | head
    total 52712
    -rw-r--r--@ 1 user    staff       10 Jun  7 21:09 Story_u2a4f.xml
    -rw-r--r--@ 1 user    staff       67 Jun  7 21:10 Story_u2df8.xml
    -rw-r--r--@ 1 user    staff      864 Jun  7 21:08 Story_u3cf.xml
    -rw-r--r--@ 1 user    staff      864 Jun  7 21:08 Story_u573.xml
    -rw-r--r--@ 1 user    staff      864 Jun  7 21:20 Story_u646.xml
    -rw-r--r--@ 1 user    staff      864 Jun  7 21:20 Story_u9b9.xml
    -rw-r--r--@ 1 user    staff      864 Jun  7 21:20 Story_ud0d.xml
    -rw-r--r--@ 1 user    staff      864 Jun  7 21:21 Story_u33a.xml
    -rw-r--r--@ 1 user    staff      864 Jun  7 21:21 Story_u5ab.xml
    $ cat Stories/Story_u2a4f.xml
    MATTONELLE$
    $ cat Stories/Story_u2df8.xml
    Mattonella a cornice X con struttura, ponte di collegamento interno$
    $
    $ cat Stories/Story_u3cf.xml
    <?xml version="1.0" encoding="UTF-8" standalone="yes"?>
    <idPkg:Story xmlns:idPkg="http://ns.adobe.com/AdobeInDesign/idml/1.0/packaging" DOMVersion="7.0">
         <Story Self="u3cf" AppliedTOCStyle="n" TrackChanges="false" StoryTitle="$ID/" AppliedNamedGrid="n">
              <StoryPreference OpticalMarginAlignment="false" OpticalMarginSize="12" FrameType="TextFrameType" StoryOrientation="Horizontal" StoryDirection="LeftToRightDirection"/>
              <InCopyExportOption IncludeGraphicProxies="true" IncludeAllResources="false"/>
              <ParagraphStyleRange AppliedParagraphStyle="ParagraphStyle/$ID/[No paragraph style]">
                   <CharacterStyleRange AppliedCharacterStyle="CharacterStyle/$ID/[No character style]" FontStyle="Light">
                        <Properties>
                             <AppliedFont type="string">DaxPro</AppliedFont>
                        </Properties>
                   </CharacterStyleRange>
              </ParagraphStyleRange>
         </Story>
    </idPkg:Story>
    $
    So, it's probably obvious what you should do with your tool to fix these...
    u3cf is an example of a normal file. I sort the files by file size to find the ones that are far too small to be normal XML.

  • Need help to print in PDF format using Adobe Reader with FireFox and a FileOpen plug-in. Can't get anything to work.

    I am trying to print clothing patterns on my printer and they must be in PDF format. I was told I needed Adobe Reader, a FileOpen plug-in, and finally Firefox Plug-in. I downloaded all of this and I still cannot open anything in PDF format. Thank you Bonita Rainey

    call the same smartform(Function generated from smartform) in your bsp application, get the otf outof it, convert that to pdf and show it. follow your previous thread and check the blog mentioned there.

  • Need help asap. Error. Cannot connect at all with my iPod.

    My iTunes brings a pop-up saying that my mobile device app is installed wrong and I have redownloaded iTunes 5 times to try and fix this. Now when I try and hook up my iPod it says that the mobile device app needs to be newer so reinstall iTunes and the mobile app. I have 5 times. I really would like to be able to connect my iPod soon. Thank you.

    have you completely removed iTunes and all its components  before reinstalling ?
    Try this: http://www.apple.com/nl/support/itunes/contact/ , it's very important to remove everything before reinstalling
    Message was edited by: jesterwylde

Maybe you are looking for

  • Safari problem with m4v - podcasts

    I created a proper podcast with quicktime etc which launches CORRECTLY in firefox, internet explorer and opera. in Safari, however, on clicking the podcast, a CODE windo is launched, so there is obviously some error somewhere. Any ideas? thank you

  • I web wont open

    My iweb has suddenly refused to open. When I try I get "unexpected error". I wonder if anyone can suggest what I should do. I'm on a mac mini using OS 10.6.3 and iweb 3.0.1. I havnt altered any settings or updated anything and all else is working. Th

  • Cancel Pending - TES 6.1.0

    Has anyone worked with trying to apply the status of Cancel Pending with TES 6.1.0? We have a process that goes out to a third party API to check for for files. The API will come back with -1 when no files are available. We have a need that if file "

  • Wsimport & custom Adapter package names

    I'm working with JAX-WS (2.1.7) How do you change the package name for the generated Adapter classes. They are currently generated in package "org.w3._2001.xmlschema" I can do this with XJC as per [https://jaxb.dev.java.net/guide/Customizing_Java_pac

  • Mountain Lion Bug? Notifications disrupt bluetooth audio

    I just noticed what might be a minor bug in Mountain Lion.